1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96
DOI: 10.1109/iscas.1996.542121
|View full text |Cite
|
Sign up to set email alerts
|

Accurate MOS modelling for analog circuit simulation using the EKV model

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
42
0

Publication Types

Select...
3
3

Relationship

1
5

Authors

Journals

citations
Cited by 34 publications
(47 citation statements)
references
References 5 publications
0
42
0
Order By: Relevance
“…It is not clear, though, whether these restrictions are fundamental to the Verilog-AMS language definition or only a consequence of the Cadence implementation. (1) `include "disciplines.h" (2) `include "constants.h" (3) (4) module cap_sensor (tmass, tmref, tetop, temid, tebot); (5) (6) inout tmass, tmref, tetop, temid, tebot; (7) (8) kinematic tmass, tmref; (9) electrical tetop, temid, tebot; (10) electrical cd_vel, cd_accel; (11) electrical vdiff_tm, vdiff_bm, vdiff_dtm, vdiff_dbm; (12) (13) // mechanical properties (14) parameter real M = 0.16n; // seismic mass (15) parameter real D = 4u; // damping coefficient (16) parameter real K = 2.6455; // spring stiffness (17) // geometrical properties (18) parameter real A = 220f; // capacitor area (19) parameter real D0 = 1.5u; // initial position (20) (21) real cd_pos; (22) real dtm, dbm, ctm, cbm; (23) (24) analog begin (25) // compute displacement of comb drive (26) cd_pos = Pos(tmass); (27) V(cd_vel) <+ ddt(Pos(tmass)); (28) V ( …”
Section: ) Verilog-ams Descriptionmentioning
confidence: 99%
See 4 more Smart Citations
“…It is not clear, though, whether these restrictions are fundamental to the Verilog-AMS language definition or only a consequence of the Cadence implementation. (1) `include "disciplines.h" (2) `include "constants.h" (3) (4) module cap_sensor (tmass, tmref, tetop, temid, tebot); (5) (6) inout tmass, tmref, tetop, temid, tebot; (7) (8) kinematic tmass, tmref; (9) electrical tetop, temid, tebot; (10) electrical cd_vel, cd_accel; (11) electrical vdiff_tm, vdiff_bm, vdiff_dtm, vdiff_dbm; (12) (13) // mechanical properties (14) parameter real M = 0.16n; // seismic mass (15) parameter real D = 4u; // damping coefficient (16) parameter real K = 2.6455; // spring stiffness (17) // geometrical properties (18) parameter real A = 220f; // capacitor area (19) parameter real D0 = 1.5u; // initial position (20) (21) real cd_pos; (22) real dtm, dbm, ctm, cbm; (23) (24) analog begin (25) // compute displacement of comb drive (26) cd_pos = Pos(tmass); (27) V(cd_vel) <+ ddt(Pos(tmass)); (28) V ( …”
Section: ) Verilog-ams Descriptionmentioning
confidence: 99%
“…`timescale 100ns/1ns (2) `include "disciplines.h" (3) (4) module trigger (din, tp); (5) input din; (6) inout tp; (7) wire din; (8) electrical tp; (9) (10) parameter real VOAMPL = 2.5; // output voltage amplitude (11) parameter ICLKPER = 200; // internal clock period (12) parameter real NPULSES = 10; // #pulses to count (13) parameter real TT = 100n; // output transition time (14) (15) reg intclk; (16) integer count; (17) real sout; (18) (19) initial begin (20) intclk = 0; (21) count = 0; (22) sout = 0; (23) end (24) (25) always #(ICLKPER/2) intclk = !intclk; (26) (27) always @(posedge din or negedge intclk) (28) begin (29) if ( …”
Section: ) Verilog-ams Descriptionmentioning
confidence: 99%
See 3 more Smart Citations