2009
DOI: 10.1007/978-1-4419-0784-4_9
|View full text |Cite
|
Sign up to set email alerts
|

PicoServer: Using 3D Stacking Technology to Build Energy Efficient Servers

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

1
29
0

Year Published

2009
2009
2013
2013

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 29 publications
(30 citation statements)
references
References 32 publications
1
29
0
Order By: Relevance
“…The product of the number of signal contacts is multiplied by the maximum rate for each type of I/O, and then divided by the maximum number of transistors (in units of a million) that can be placed on such a chip. 13 Thus if a core consumed a million transistors, regardless of feature size, this chart then gives insight into how much exclusive offchip bandwidth might be available to just that core at each point in time.…”
Section: Available Off-chip Bandwidth Per Unit Logicmentioning
confidence: 99%
See 1 more Smart Citation
“…The product of the number of signal contacts is multiplied by the maximum rate for each type of I/O, and then divided by the maximum number of transistors (in units of a million) that can be placed on such a chip. 13 Thus if a core consumed a million transistors, regardless of feature size, this chart then gives insight into how much exclusive offchip bandwidth might be available to just that core at each point in time.…”
Section: Available Off-chip Bandwidth Per Unit Logicmentioning
confidence: 99%
“…Black et al [1] studied two forms of die stacking: placing cache chips on top of a conventional microprocessor, and splitting such a microprocessor into two die. Ghosh and Lee [7] and Kgil et al [13] studied microarchitectures that use 3D stacking to reduce energy costs. Loh [18] discussed re-architecting DRAM die that would stack above a conventional processor core in ways that increase the bandwidth and memory level parallelism of the memory as seen by the processor.…”
Section: Emerging 3d Architecturesmentioning
confidence: 99%
“…One of the first solutions implementing multiple memory layers on top of processors was presented by Kgil [15], modeling a web server as a CMP built of four DRAM layers stacked on top of a processing die hosting up to eight parallel cores.…”
Section: Related Workmentioning
confidence: 99%
“…In this paper, we use this structure as one of the comparison points and demonstrate that our proposed architecture is superior. In [28], a CMP design with stacked memory layers is proposed. The authors show that the L2 cache can be removed due to the availability of wide low-latency inter-layer buses between the processing core layer and DRAM layers, and the area saved from this can be recycled for additional cores.…”
Section: D Architecturesmentioning
confidence: 99%
“…Currently, there exists no systematic effort at exploring the interconnect architecture for 3D chips. Recently, researchers have started examining some tradeoffs, such as the influence of bandwidth variation of inter-layer interconnects between processor and memory subsystems [28], and combining vertical interconnects with an NoC fabric for chip multiprocessor caches [32].…”
Section: Introductionmentioning
confidence: 99%