2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation 2010
DOI: 10.1109/icsamos.2010.5642062
|View full text |Cite
|
Sign up to set email alerts
|

LV<sup>&#x2217;</sup>: A low complexity lazy versioning HTM infrastructure

Abstract: Transactional memory (TM) promises to unlock parallelism in software in a safer and easier way than lock-based approaches but the path to deployment is unclear for several reasons. First of all, since TM has not been deployed in any machine yet, experience of using it is limited. While software transactional memory implementations exist, they are too slow to provide useful experience. Existing hardware transactional memory implementations, on the other hand, can provide the efficiency required but they require… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
16
0

Year Published

2011
2011
2021
2021

Publication Types

Select...
4
2

Relationship

2
4

Authors

Journals

citations
Cited by 11 publications
(16 citation statements)
references
References 28 publications
0
16
0
Order By: Relevance
“…Therefore, evictions of both clean and dirty transactional data from the private cache are tolerated, provided that the cache controller can detect conflicts on spilled lines. The scheme to summarize overflowed addresses can vary from a single bit [16] to hash signatures [6], [18], [27]. Unfortunately, these Bloom filters are susceptible to false conflicts that arise as a consequence of their conservative encoding of addresses.…”
Section: Reducing False Positives Of Signaturesmentioning
confidence: 99%
See 1 more Smart Citation
“…Therefore, evictions of both clean and dirty transactional data from the private cache are tolerated, provided that the cache controller can detect conflicts on spilled lines. The scheme to summarize overflowed addresses can vary from a single bit [16] to hash signatures [6], [18], [27]. Unfortunately, these Bloom filters are susceptible to false conflicts that arise as a consequence of their conservative encoding of addresses.…”
Section: Reducing False Positives Of Signaturesmentioning
confidence: 99%
“…To retain isolation on overflowed lines, cache controllers need a way of determining if an address whose tag is not found in cache indeed belongs to the read and write sets of its transaction. The solution can range from a single overflow bit [16], to an overflow signature [18] or a permissions-only cache [2].…”
Section: Background and Related Workmentioning
confidence: 99%
“…For this evaluation, we have selected seven (out of eight) transactional applications from the STAMP suite [2]: genome, intruder, kmeans, labyrinth, ssca2, vacation and yada. The application, bayes, was excluded since it exhibits unpredictable behaviour and high variability in its execution time [6], [13]. For kmeans and vacation, both high and low contention configurations were used.…”
Section: A Experimental Setupmentioning
confidence: 99%
“…Tomic et al [17] describe an eager conflict detection design that commits transactions lazily, utilizing directory coherence in MESI based systems with two levels of private caching. Negi et al developed a broadcast-based lazy commit protocol in [13] that eliminates the need for write-backs or cache-line invalidation messaging at commit.…”
Section: Related Workmentioning
confidence: 99%
“…The second generation of HTM implementations focused particularly on conflict detection and resolution policies by adopting flexible mechanisms such as detecting write-write conflicts eagerly and read-write conflicts lazily [26], detecting all conflicts eagerly and resolving them lazily [28], and providing the flexibility of detecting and resolving conflicts either eagerly or lazily, depending on the application [21]. In this paper, we focus on version management, the third key HTM design dimension.…”
Section: Introductionmentioning
confidence: 99%