1999
DOI: 10.1117/12.368465
|View full text |Cite
|
Sign up to set email alerts
|

<title>Model of an instrumented optoelectronic transmission system in HDL-A and VHDL-AMS</title>

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
14
0

Year Published

2005
2005
2005
2005

Publication Types

Select...
1
1
1

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(14 citation statements)
references
References 0 publications
0
14
0
Order By: Relevance
“…As one can see, the VHDL-AMS equations of lines 31 to 40 perfectly match the physical equations (1) to (3). (1) library ieee_proposed; (2) use ieee_proposed.energy_systems.all; (3) use ieee_proposed.electrical_systems.all; (4) use ieee_proposed.mechanical_systems.all; (5) (6) entity cap_sensor is (7) generic ( (8) --mechanical properties (9) M : mass := 0.16*NANO; --seismic mass (10) D : damping := 4.0*MICRO; --damping coefficient (11) K : stiffness := 2.6455; --spring stiffness (12) --geometrical properties (13) A : real := 2.0*MICRO*110.0*MICRO; --capacitor area (14) D0: real := 1.5*MICRO); --initial position (15) port ( (16) terminal tmass, tmref : translational; (17) terminal tetop, temid, tebot: electrical); (18) end entity cap_sensor; (19) (20) architecture bhv of cap_sensor is (21) --branch quantities (22) quantity cd_pos across cd_force through tmass to tmref; (23) quantity vtm across itm through tetop to temid; (24) quantity vbm across ibm through tebot to temid; (25) --free quantities (26) quantity cd_vel: velocity; --comb drive velocity (27) quantity dtm, dbm: displacement; --comb drive displacements (28) quantity ctm, cbm: capacitance; --capacitances (29) begin ( …”
Section: ) Vhdl-ams Descriptionmentioning
confidence: 99%
See 4 more Smart Citations
“…As one can see, the VHDL-AMS equations of lines 31 to 40 perfectly match the physical equations (1) to (3). (1) library ieee_proposed; (2) use ieee_proposed.energy_systems.all; (3) use ieee_proposed.electrical_systems.all; (4) use ieee_proposed.mechanical_systems.all; (5) (6) entity cap_sensor is (7) generic ( (8) --mechanical properties (9) M : mass := 0.16*NANO; --seismic mass (10) D : damping := 4.0*MICRO; --damping coefficient (11) K : stiffness := 2.6455; --spring stiffness (12) --geometrical properties (13) A : real := 2.0*MICRO*110.0*MICRO; --capacitor area (14) D0: real := 1.5*MICRO); --initial position (15) port ( (16) terminal tmass, tmref : translational; (17) terminal tetop, temid, tebot: electrical); (18) end entity cap_sensor; (19) (20) architecture bhv of cap_sensor is (21) --branch quantities (22) quantity cd_pos across cd_force through tmass to tmref; (23) quantity vtm across itm through tetop to temid; (24) quantity vbm across ibm through tebot to temid; (25) --free quantities (26) quantity cd_vel: velocity; --comb drive velocity (27) quantity dtm, dbm: displacement; --comb drive displacements (28) quantity ctm, cbm: capacitance; --capacitances (29) begin ( …”
Section: ) Vhdl-ams Descriptionmentioning
confidence: 99%
“…(1) library ieee; (2) use ieee.std_logic_1164.all; (3) (4) library ieee_proposed; (5) use ieee_proposed.electrical_systems.all; (6) (7) entity trigger is (8) generic ( (9) VOAMPL : voltage := 2.5; --output voltage amplitude (10) ICLKPER: time := 20 us; --internal clock period (11) NPULSES: natural := 10; --#pulses to count (12) TT : real := 100.0e-9 --output transition time (13) ); (14) port ( (15) signal din : in std_logic; (16) terminal tp, tm: electrical (17) ); (18) end entity trigger; (19) (20) architecture bhv of trigger is (21) (22) signal intclk: std_logic := '0'; (23) signal sout : real := 0.0; (24) (25) quantity vout across iout through tp to tm; (26) (27) begin (28 …”
Section: ) Vhdl-ams Descriptionmentioning
confidence: 99%
See 3 more Smart Citations