IEEE International Electron Devices Meeting 2003
DOI: 10.1109/iedm.2003.1269187
|View full text |Cite
|
Sign up to set email alerts
|

Low-pressure CMP for 300-mm ultra low-k (k=1.6-1.8)/Cu integration

Abstract: Toward the 45-nm technology node, multilevel C u dual-damascene interconnects with hybrid-structure low-k ILDs consisting of porous MSQ (k<1.6-1.8) and organic polymer films are successfully integrated on 300-mm wafers for the first time with a low-pressure CMP and dummy pattern technology, which supports the poor mechanical properties of ultra low-k films. IntroductionLow-k dielectrics have been extensively investigated as materials that can reduce the parasitic capacitance of ULSI interconnects. Porous low-k… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
14
0

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 14 publications
(14 citation statements)
references
References 0 publications
0
14
0
Order By: Relevance
“…[3][4][5] Moreover, the introduction of nanopores in a dielectric matrix drastically deteriorates the film mechanical properties which induces some limitations during the chemical mechanical polishing ͑CMP͒ and the packaging steps. 6 To overcome these issues, an integration scheme proposed by Calvert and Gallagher allows the solid phase processing of a spin-on porous low-k material. 7 As shown in Fig.…”
mentioning
confidence: 99%
“…[3][4][5] Moreover, the introduction of nanopores in a dielectric matrix drastically deteriorates the film mechanical properties which induces some limitations during the chemical mechanical polishing ͑CMP͒ and the packaging steps. 6 To overcome these issues, an integration scheme proposed by Calvert and Gallagher allows the solid phase processing of a spin-on porous low-k material. 7 As shown in Fig.…”
mentioning
confidence: 99%
“…There are a number of problems with Cu CMP in a porous low-k structure, including Cu dishing and insulator erosion, cracking and adhesion loss in the dielectric stack, and scratching or contamination of the low-k material by components or the slurry or reaction by-products [104][105][106][107][108]. The problems with dishing/erosion and cracking/adhesion loss can be minimized by reducing the downforce during CMP and improving the adhesion between layers in the stack [104].…”
Section: Chemical Mechanical Polishingmentioning
confidence: 99%
“…The problems with dishing/erosion and cracking/adhesion loss can be minimized by reducing the downforce during CMP and improving the adhesion between layers in the stack [104]. There are two basic integration schemes for Cu CMP with porous low-k structures: the permanent polish stop method (Figure 8.16A-C) [104,105,109] and the direct CMP method ( Figure 8.16D-F) [109]. In the permanent polish stop approach, a relatively dense material, such as SiO 2 [104] or nonporous SiCOH [105,109], is used on top of the porous low-k material.…”
Section: Chemical Mechanical Polishingmentioning
confidence: 99%
See 1 more Smart Citation
“…2 [7][8]. Accordingly, Kondo [9] have proposed the low-pressure CMP technique is proposed. However, as the size of the via of IC backend shrinks, the capability of the protecting the low-k material from delamination during CMP also decreases.…”
Section: Introductionmentioning
confidence: 99%