1994
DOI: 10.1149/1.2059354
|View full text |Cite
|
Sign up to set email alerts
|

High Selectivity Magnetically Enhanced Reactive Ion Etching of Boron Nitride Films

Abstract: Oxygen and tetrafluorocarbon magnetically enhanced reactive ion etching (MERIE) of plasma chemical vapor deposited (CVD) boron nitride (BN) and silicon boron nitride (SiBN) was studied for both blanket and submicron patterned films. The relative etch selectivities of the BN and SiBN to oxide (SiO2) and nitride (SIN) were determined. In general, oxygen-rich Q/CF4 MERIE produce very high etch selectivity results while maintaining vertical etch profiles. This etch process expands the potential for use ol BN/SiBN … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
4
0

Year Published

1995
1995
2019
2019

Publication Types

Select...
5

Relationship

0
5

Authors

Journals

citations
Cited by 5 publications
(4 citation statements)
references
References 2 publications
0
4
0
Order By: Relevance
“…434,436 For this reason, B 2 O 3 is likely unsuitable for low-k interconnect applications. Boron nitride (BN) does not exhibit the same extreme hygroscopic behavior as B 2 O 3 and has been investigated for numerous electronic applications as a gate dielectric, 437 ILD, 434 ES, 438 DB, 439 HM, 440 polish stop, 441 and UV photo-detector / light emitting diode. 442,443 PECVD a-BN:H films have been reported to have reasonably low k values of 4.0-5.7 and high mass densities of 1.7-1.9 g/cm 3 that make it of interest for potential low-k DB interconnect applications.…”
Section: Future Trends and Research Needed For Low-k Db And Es Materialsmentioning
confidence: 99%
“…434,436 For this reason, B 2 O 3 is likely unsuitable for low-k interconnect applications. Boron nitride (BN) does not exhibit the same extreme hygroscopic behavior as B 2 O 3 and has been investigated for numerous electronic applications as a gate dielectric, 437 ILD, 434 ES, 438 DB, 439 HM, 440 polish stop, 441 and UV photo-detector / light emitting diode. 442,443 PECVD a-BN:H films have been reported to have reasonably low k values of 4.0-5.7 and high mass densities of 1.7-1.9 g/cm 3 that make it of interest for potential low-k DB interconnect applications.…”
Section: Future Trends and Research Needed For Low-k Db And Es Materialsmentioning
confidence: 99%
“…Poly(borosilazanes) have been investigated as precursors for ceramic materials that have the potential to provide oxidation protective barrier films. A number of workers have reported ceramic materials that involve boron nitride and silicon nitride mixtures. Some of these ceramic materials are multicomponent systems consisting of mixtures of BN and Si 3 N 4 . In some cases the ceramic materials are prepared by chemical vapor deposition (CVD), and in other cases they are prepared by using polymers as precursors.…”
Section: Introductionmentioning
confidence: 99%
“…[215][216][217] However, there are scaling limits in k due to the need to maintain a dense enough material that can still function as a Cu diffusion barrier (DB) material as well as ES. 218 As for the transistor, boron-based materials such as BNH [219][220][221][222] and BCH 223,224 have also shown some promise as potential lower-k ES/DB candidates. As we will discuss later, additional etch considerations created by the more complex patterning schemes recently adopted have led to the exploration of a still wider range of materials as candidate ES/DB materials.…”
Section: Spacermentioning
confidence: 99%
“…This is primarily a result of their high optical transparency and strong resistance to fluorine based dry etches. 37,264 Similarly, boron-based dielectrics have also gained significant interest as alternative or complementary hard masking materials 265,266 due to their significant dry etch rates in fluorine-based chemistries, 37,219,267 low wet etch rates in dilute HF, 223,268 and tunable bi-axial film stress 37 and mechanical properties. 264,269 The high resistance of high-k oxides and nitrides to fluorinated plasma chemistries has also led to significant interest for etch stopping applications in the final pattern transfer to the target material.…”
Section: Color Technology and The Four-color Problemmentioning
confidence: 99%