2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) 2016
DOI: 10.1109/dft.2016.7684059
|View full text |Cite
|
Sign up to set email alerts
|

BTI aware thermal management for reliable DVFS designs

Abstract: Abstract-In this paper, we show that dynamic voltage and frequency scaling (DVFS) designs, together with stress-induced BTI variability, exhibit high temperature-induced BTI variability, depending on their workload and operating modes. We show that the impact of temperature-induced variability on circuit lifetime can be higher than that due to stress and exceed 50% over the value estimated considering the circuit average temperature. In order to account for these variabilities in lifetime estimation at design … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
6
0

Year Published

2017
2017
2019
2019

Publication Types

Select...
3
3

Relationship

2
4

Authors

Journals

citations
Cited by 7 publications
(6 citation statements)
references
References 12 publications
0
6
0
Order By: Relevance
“…This provides concurrent use of CPU and GPU to carry out the application threads, but in [67,69], a static dividing is performed by using all the CPU and GPU cores. Researchers of [68] established that the influence of temperature-induced variability on circuit lifetime can be elevated due to stress and exceed over the value estimated bearing in mind the circuit average temperature. The researcher presents a simulation framework for the BTI degradation analysis of DVFS designs that considers thermal profiles under the Dynamic Thermal Management (DTM) system influence.…”
Section: Scheduling Tasks On Heterogeneous Multiprocessormentioning
confidence: 99%
“…This provides concurrent use of CPU and GPU to carry out the application threads, but in [67,69], a static dividing is performed by using all the CPU and GPU cores. Researchers of [68] established that the influence of temperature-induced variability on circuit lifetime can be elevated due to stress and exceed over the value estimated bearing in mind the circuit average temperature. The researcher presents a simulation framework for the BTI degradation analysis of DVFS designs that considers thermal profiles under the Dynamic Thermal Management (DTM) system influence.…”
Section: Scheduling Tasks On Heterogeneous Multiprocessormentioning
confidence: 99%
“…In this regard, actual thermal profiles of the memory can be derived by means of the HotSpot tool [35], provided that information on the workload are available. If the actual workload is not known at design time, the statistically probable workload can be selected, as proposed in [36]. Afterwards, the considered metrics are evaluated by HSPICE simulations allowing designers to explore different trade-offs between power consumption and reliability.…”
Section: Proposed Simulation Framework For Trading Off Power and Rmentioning
confidence: 99%
“…As highlighted in Section III, BTI aging depends considerably on utilization and temperature, which therefore affect the trend over time of static power consumption, SER and SNM. Moreover, these metrics can also be affected by process variations, as we will show in Section VI-D. At design time, information on the workload can allow designers to build up a thermal map of the ICs with the temperature distribution [36]. Moreover, the impact of process variations can also be estimated at design time.…”
Section: Proposed Drowsy Policies and Architecturementioning
confidence: 99%
“…The Authors in [6] show that the impact of temperature-induced variability on circuit lifetime can be higher due to stress and exceed over the value estimated considering the circuit average temperature. They propose a simulation framework for the BTI degradation analysis of DVFS designs that considers thermal profiles under the influence of a Dynamic Thermal Management (DTM) system.…”
Section: State-of-the-artmentioning
confidence: 99%
“…Scaling to new technology nodes leads to progressive degradation of the performance characteristics of devices and system components [7] induced by aging phenomena. Some works show that the impact of temperature-induced variability on circuit lifetime can be higher than that due to stress and exceed 50% over the value estimated considering the circuit average temperature [6].…”
Section: Introductionmentioning
confidence: 99%