High aspect ratio (HAR) silicon etch is reviewed, including commonly used terms, history, main applications, different technological methods, critical challenges, and main theories of the technologies. Chronologically, HAR silicon etch has been conducted using wet etch in solution, reactive ion etch (RIE) in low density plasma, single-step etch at cryogenic conditions in inductively coupled plasma (ICP) combined with RIE, time-multiplexed deep silicon etch in ICP-RIE configuration reactor, and single-step etch in high density plasma at room or near room temperature. Key specifications are HAR, high etch rate, good trench sidewall profile with smooth surface, low aspect ratio dependent etch, and low etch loading effects. Till now, time-multiplexed etch process is a popular industrial practice but the intrinsic scalloped profile of a time-multiplexed etch process, resulting from alternating between passivation and etch, poses a challenge. Previously, HAR silicon etch was an application associated primarily with microelectromechanical systems. In recent years, through-silicon-via (TSV) etch applications for three-dimensional integrated circuit stacking technology has spurred research and development of this enabling technology. This potential large scale application requires HAR etch with high and stable throughput, controllable profile and surface properties, and low costs.
Extreme ultraviolet lithography (EUVL) was thoroughly reviewed over a broad range of topics, including history, tools, source, metrology, condenser and projection optics, resists, and masks. Since 1988, many studies on EUVL have been conducted in North America, Europe, and Japan, through state sponsored programs and industrial consortiums. To date, no “show stopper” has been identified, but challenges are present in almost all aspects of EUVL technology. Commercial alpha lithography step-and-scan tools are installed with full-field capability; however, EUVL power at intermediate focus (IF) has not yet met volume manufacturing requirements. Compared with the target of 180W IF power, current tools can supply only approximately 55–62W. EUV IF power has been improved gradually from xenon- to tin-discharge-produced plasma or laser-produced plasma. EUVL resist has improved significantly in the last few years, with 25nm 1:1 line/space resolution being produced with approximately 2.7nm (3σ) line edge roughness. Actual adoption of EUVL will depend on the extension of current optical lithography, such as 193nm immersion lithography, combined with double patterning techniques. Mask fabrication and application technologies may be the most substantial challenges. Creating a defect-free EUVL mask is currently an obstacle to its application, although a combination of removable pellicle and thermophoretic protection may overcome nonpellicle challenge. Cost of ownership is a critical consideration for EUVL; nevertheless, it has been predicted that EUVL may be in pilot production at 32nm and in large-scale production at 22nm with the capability to extend to the next technology node.
Feasibility of ionic liquids as liquid thermal storage media and heat transfer fluids in a solar thermal power plant was investigated. Many ionic liquids such as [C4min][PF6], [C8mim][PF6], [C4min][bistrifluromethane sulflonimide], [C4min][BF4], [C8mim][BF4], and [C4min][bistrifluromethane sulflonimide] were synthesized and characterized using thermogravimetric analysis (TGA), differential scanning calorimeter (DSC), nuclear magnetic resonance (NMR), viscometry, and some other methods. Properties such as decomposition temperature, melting point, viscosity, density, heat capacity, and thermal expansion coefficient were measured. The calculated storage density for [C8mim][PF6] is 378 MJ/m3 when the inlet and outlet field temperatures are 210°C and 390°C. For a single ionic liquid, [C4mim][BF4], the liquid temperature range is from −75°C to 459°C. It is found that ionic liquids have advantages of high density, wide liquid temperature range, low viscosity, high chemical stability, non-volatility, high heat capacity, and high storage density. Based on our experimental results, it is concluded that ionic liquids could be excellent liquid thermal storage media and heat transfer fluids in solar thermal power plant.
Investigation of etching properties of metal nitride/high-k gate stacks using inductively coupled plasma Photomask plasma etching was thoroughly reviewed over wide topics including history, equipment, etchant, absorbers, phase shifters, thermodynamics, and kinetics. Plasma etch obtained industrial applications for photomask fabrication in the 1990s and presently is a critical fabrication step for the "enabling" photomask industry. Among all types of photomasks ͑binary, embedded attenuated phase-shift mask, and alternating aperture phase-shift mask͒, chromium ͑Cr͒ containing material etching has been the basis and fundamental for all photomask etches. The main technological challenges for Cr etch occur on ͑isolated͒ dark features of a high load photomask due to the etch critical dimension ͑CD͒ bias dependence on the local loading. It determines the CD features on the Cr layer, phase shifter MoSi layer, and fused silica ͑quartz͒ layer. The CD deviation on pattern layers from the nominal value has been a challenge, especially for the Cr state-of-the-art 65 nm node photomasks even though data sizing exists. Inductively coupled plasma plus bias power using radio frequency wavelength is the dominant configuration of the photomask plasma etcher, with improved loading and CD mean-to-target potential. Thermodynamic applications ͑Gibbs energy minimization method͒ on photomask plasma etch provide a quick, easy, and low cost method to estimate the plasma etch feasibility and defect reduction at different plasma gas input conditions. Empirical relationships between operational parameters and etch properties significantly improve the only design of experiment procedure for etch process optimization.
scite is a Brooklyn-based organization that helps researchers better discover and understand research articles through Smart Citations–citations that display the context of the citation and describe whether the article provides supporting or contrasting evidence. scite is used by students and researchers from around the world and is funded in part by the National Science Foundation and the National Institute on Drug Abuse of the National Institutes of Health.
hi@scite.ai
10624 S. Eastern Ave., Ste. A-614
Henderson, NV 89052, USA
Copyright © 2024 scite LLC. All rights reserved.
Made with 💙 for researchers
Part of the Research Solutions Family.