Proceedings of the 1997 International Symposium on Physical Design - ISPD '97 1997
DOI: 10.1145/267665.267676
|View full text |Cite
|
Sign up to set email alerts
|

Timing driven placement in interaction with netlist transformations

Abstract: In this paper, we present a new approach that performs timing driven placement for standard cell circuits in interaction with netlist transformations.As netlist transformations are integrated into the placement process, an accurate net delay model is available. This model provides the basis for effective netlist transformations.In contrast to previous approaches that apply netlist transformations during placement, we are not restricted to local transformations like fanout buffering or gate resizing. Instead, w… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
17
0

Year Published

1999
1999
2008
2008

Publication Types

Select...
6
3

Relationship

0
9

Authors

Journals

citations
Cited by 39 publications
(17 citation statements)
references
References 11 publications
(9 reference statements)
0
17
0
Order By: Relevance
“…This need for fewer exceptional wires is the core reason that researchers are exploring new methodologies that use variable width routing, metal promotion, synthesis-driven layout techniques [16], layout-driven synthesis techniques [3], postlayout resynthesis optimizations [17] [18], and combining layout and synthesis [19].…”
Section: Cad Implicationsmentioning
confidence: 99%
“…This need for fewer exceptional wires is the core reason that researchers are exploring new methodologies that use variable width routing, metal promotion, synthesis-driven layout techniques [16], layout-driven synthesis techniques [3], postlayout resynthesis optimizations [17] [18], and combining layout and synthesis [19].…”
Section: Cad Implicationsmentioning
confidence: 99%
“…In [8,11,28], post-placement resynthesis achieved delay improvement with limited placement perturbation, but these techniques are limited to simple signal substitution transformations. As the major portion of the critical delay is shifting into interconnect [32], poor design choices during synthesis cannot be easily corrected by limited scale post-placement optimizations.…”
Section: Introductionmentioning
confidence: 99%
“…Liu et al [11] presented a resynthesis technique that resynthesizes the most congested region of the chip to reduce routing area. Stenz et al [12] proposed a timing-driven placement method in interaction with netlist transformations. The netlist transformation procedure is integrated into the placement process so that accurate delay models are available to guide the transformation process.…”
Section: Introductionmentioning
confidence: 99%