Photomask Japan 2022: XXVIII Symposium on Photomask and Next-Generation Lithography Mask Technology 2022
DOI: 10.1117/12.2641557
|View full text |Cite
|
Sign up to set email alerts
|

Status of curvilinear data format working group

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
22
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
4
3

Relationship

0
7

Authors

Journals

citations
Cited by 16 publications
(22 citation statements)
references
References 4 publications
0
22
0
Order By: Relevance
“…Additionally, we interpreted types of defects through clustering and attention map visualization. We anticipate that the transformer approach will be compatible with the Bezier curve based format [23], which is being proposed to reduce data in curvilinear masks with OPCed data. Future research could lay the foundation for automating GDS analysis and generative models through VGT-based studies.…”
Section: Discussionmentioning
confidence: 99%
“…Additionally, we interpreted types of defects through clustering and attention map visualization. We anticipate that the transformer approach will be compatible with the Bezier curve based format [23], which is being proposed to reduce data in curvilinear masks with OPCed data. Future research could lay the foundation for automating GDS analysis and generative models through VGT-based studies.…”
Section: Discussionmentioning
confidence: 99%
“…In order to assuage the effects of curvilinear design on file size, the mask industry is currently working on a Bezier based curve format to be adopted as a SEMI standard [4] that is suitable to represent curvilinear shapes. An example of such formats is shown in Figure 5(b).…”
Section: Curvilinear Formatsmentioning
confidence: 99%
“…With the wafer process windows known to improve substantially with free-form curvilinear ILT [2], representing and computing with curvilinear shapes in MDP has gained in importance [12]. File sizes and computational complexity has become an increasing concern for the mask industry in the curvilinear era, prompting the industry to develop a SEMI standard [4].…”
Section: Introduction: Curvilinear Masks Representation Overviewmentioning
confidence: 99%
See 1 more Smart Citation
“…High-NA EUV masks 8,9,10 will require reduction in minimum feature size (MFS) and reduction of edge placement errors as illustrated in Figure 1. Additionally, it is expected that curvilinear mask patterning will also be deployed in the near future 11,12,13 . The next section will discuss the ability of the current generation of multibeam writers (MBMW101, MBMW201, MBMW261) to meet these requirements.…”
Section: Introductionmentioning
confidence: 99%