Photomask Technology 2022 2022
DOI: 10.1117/12.2643339
|View full text |Cite
|
Sign up to set email alerts
|

You don’t need 1nm contours for curvilinear shapes: pixel-based computing is the answer

Abstract: Enabled by multi-beam mask writing [1], curvilinear free-form ILT [2], and GPU acceleration [3], curvilinear masks are quickly becoming the norm in leading edge masks, whether for 193i or for EUV, particularly for contact and via layers. An industry standard for compactly representing curvilinear shapes is being developed for SEMI through an industry working group. In it, Bezier, and B-spline "Multigon" formats are proposed to augment the piecewise linear polygons that are supported today [4]. Whether these in… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
10
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
3
1

Relationship

1
3

Authors

Journals

citations
Cited by 4 publications
(10 citation statements)
references
References 11 publications
0
10
0
Order By: Relevance
“…• It is certain that MPC in geometry domain has infinite resolution. But the pixel sizes and the bits of dose levels of pixels of a multi-beam mask writer are clearly the most resolution that is meaningfully transferrable to the resists on the mask 5 . The precision in the pixel dose manipulation is the best and the most adequate data representation possible without any loss or addition of information.…”
Section: Concept and Advantages Of Pldcmentioning
confidence: 99%
See 1 more Smart Citation
“…• It is certain that MPC in geometry domain has infinite resolution. But the pixel sizes and the bits of dose levels of pixels of a multi-beam mask writer are clearly the most resolution that is meaningfully transferrable to the resists on the mask 5 . The precision in the pixel dose manipulation is the best and the most adequate data representation possible without any loss or addition of information.…”
Section: Concept and Advantages Of Pldcmentioning
confidence: 99%
“…The other solution is to apply MPC to the bitmap in the pixel domain inside multi-beam mask writer. In this paper, we call MPC in the pixel domain as pixel-level dose correction 4 , 5 (PLDC). In Sec.…”
Section: Introductionmentioning
confidence: 99%
“…Representing curvy shapes is challenging if we continue using traditional piecewise linear formats. The paper [7] talks about how using a curve format provide us similar information content with less data if we us a pixel-based computing aware piecewise curvy format. As shown in Figure 4, the red piecewise linear representation requires a lot of vertices to represent the curve.…”
Section: Curvilinear Data Representation Mitigates Data Path Bottlenecksmentioning
confidence: 99%
“…The paper [7] talks about how the intuition behind Nyquist criteria in pixel domain can be seen from grid alignment. In fact, if the input mask shape is sampled into pixels with sufficient resolution, the resulting shapes on masks are always preserved.…”
Section: Information Theory In Pixel Domain Mask Processingmentioning
confidence: 99%
See 1 more Smart Citation