2009 International Test Conference 2009
DOI: 10.1109/test.2009.5355554
|View full text |Cite
|
Sign up to set email alerts
|

On simultaneous shift- and capture-power reduction in linear decompressor-based test compression environment

Abstract: Abstract

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
7
0

Year Published

2011
2011
2015
2015

Publication Types

Select...
4
4

Relationship

0
8

Authors

Journals

citations
Cited by 27 publications
(7 citation statements)
references
References 34 publications
0
7
0
Order By: Relevance
“…Table II presents the shift power obtained with our approach. We also compare our result with other X-filling techniques like Adjacent-fill [8], CSC-fill [17], i-fill [9], and S-filling [18]. It is evident from the Table II that, the shift power is comparable with the Adjacent-fill which is known to be the efficient method to reduce the shift power.…”
Section: Resultsmentioning
confidence: 73%
“…Table II presents the shift power obtained with our approach. We also compare our result with other X-filling techniques like Adjacent-fill [8], CSC-fill [17], i-fill [9], and S-filling [18]. It is evident from the Table II that, the shift power is comparable with the Adjacent-fill which is known to be the efficient method to reduce the shift power.…”
Section: Resultsmentioning
confidence: 73%
“…In order to further illustrate the power reduction capability of the proposed technique, we provide herein a comparison to the two most effective scan power reduction schemes [24] that are based on sequential linear compression schemes, as summarized in Table V. The proposed scheme in general delivers higher percentage of peak and average power reductions.…”
Section: Resultsmentioning
confidence: 99%
“…Linear constraint propagation is performed during the X-filling process to guarantee the compressibility of the filled test cubes. The technique proposed in [24] improves the aforementioned X-filling technique by filling the X values according to the power impact of the free bits in the seeds and performing a post-processing adjustment of the filled test cube. A low power compression technique based on scan chain partitioning is proposed in [30].…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…An encoding scheme should therefore allow feeding scan chains with patterns having reduced the amount of toggling. In response to these challenges, several low power test data encoding schemes were presented [3], [28], [30], [32], [33], [38], [55]. Some of them rest on conventional LFSR reseeding techniques with certain extensions reducing the scan-in transition probability.…”
Section: A Prior Workmentioning
confidence: 99%