2011 IEEE Computer Society Annual Symposium on VLSI 2011
DOI: 10.1109/isvlsi.2011.66
|View full text |Cite
|
Sign up to set email alerts
|

On Screening Reliability Using Lithographic Process Corner Information Gleaned from Tester Measurements

Abstract: Current printability issues can be attributed to subwavelength lithography and its sensitivity to manufacturing process variations. Resulting process variations cause performance, yield and reliability problems. As noted in ITRS, conventional burn-in test is losing cost-effectiveness in reliability screening. In this paper, we use lithography process corner information in reliability screening. The lithographic process corner information is decoded from circuit measurements using a tester. We propose two metho… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2013
2013
2013
2013

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
references
References 35 publications
(33 reference statements)
0
0
0
Order By: Relevance