2016
DOI: 10.2494/photopolymer.29.501
|View full text |Cite
|
Sign up to set email alerts
|

Metal Containing Resist Readiness for HVM EUV Lithography

Abstract: The use of metals in extreme ultraviolet (EUV) lithography to have the highest productivity with low exposure dose requirements (below 20mJ/cm 2 ) has recently developed an increased interest. The motivation of using metals in the formulation of EUV photoresists stems from the high EUV cross sectional absorption of several metal species that give the opportunity to enhance the EUV absorbance capacity compared to a traditional pure organic resist and thus capture more productive photons, improving the resist se… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
6
0

Year Published

2017
2017
2024
2024

Publication Types

Select...
5
2
1

Relationship

1
7

Authors

Journals

citations
Cited by 11 publications
(6 citation statements)
references
References 4 publications
0
6
0
Order By: Relevance
“…Among the variety of materials that are being investigated for EUVL applications, metal–organic materials, also called inorganic resists, are considered the most promising. Their main advantage is that the incorporation of metallic elements enhances EUV absorptivity . In particular, Sn-containing materials have attracted much attention as they can yield nanopatterns at relatively low doses. Yet, a lack of detailed understanding of the chemical processes occurring upon the absorption of EUV photons hinders the rational design of efficient resists.…”
Section: Introductionmentioning
confidence: 99%
“…Among the variety of materials that are being investigated for EUVL applications, metal–organic materials, also called inorganic resists, are considered the most promising. Their main advantage is that the incorporation of metallic elements enhances EUV absorptivity . In particular, Sn-containing materials have attracted much attention as they can yield nanopatterns at relatively low doses. Yet, a lack of detailed understanding of the chemical processes occurring upon the absorption of EUV photons hinders the rational design of efficient resists.…”
Section: Introductionmentioning
confidence: 99%
“…These have a larger absorption cross section for EUV photons than organic materials, which contributes to an increase in sensitivity. 5 This may eliminate the need for chemical amplification, removing statistical uncertainties in the position of photoacid generators and quenchers. Metalcontaining compounds also have an improved etch resistance compared to conventional chemically amplified resists (CARs).…”
Section: Introductionmentioning
confidence: 99%
“…One of the critical technical issues of EUVL is the development of resist material which has high resolution and high sensitivity as well as low line-edge roughness and low outgassing simultaneously [16,17].…”
Section: Challenges In Euv Lithographymentioning
confidence: 99%