2017 IEEE 67th Electronic Components and Technology Conference (ECTC) 2017
DOI: 10.1109/ectc.2017.246
|View full text |Cite
|
Sign up to set email alerts
|

Latency, Bandwidth and Power Benefits of the SuperCHIPS Integration Scheme

Abstract: Abstract-In this paper, we describe the performance and power benefits of our Fine Pitch integration scheme on a Silicon Interconnect Fabric (Si IF). Here we propose a Simple Universal Parallel intERface (SuperCHIPS) protocol enabled by fine pitch dielet to interconnect fabric assembly. We show the dramatic improvements in bandwidth, latency, and power are achievable through our integration scheme where small dielets (1-25 mm 2 ) are attached to a rigid Silicon Interconnect Fabric (Si-IF) at fine interconnect … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
17
0

Year Published

2017
2017
2022
2022

Publication Types

Select...
7

Relationship

3
4

Authors

Journals

citations
Cited by 36 publications
(17 citation statements)
references
References 34 publications
0
17
0
Order By: Relevance
“…Thus, RC delay would also be smaller. Using detailed multi-physics and SPICE simulations, we verified that the links can be switched at 2-4 GHz, while consuming <0.3 pJ/bit using very simple I/O drivers [28].…”
Section: Silicon Interconnect Fabric: An Enablingmentioning
confidence: 94%
See 2 more Smart Citations
“…Thus, RC delay would also be smaller. Using detailed multi-physics and SPICE simulations, we verified that the links can be switched at 2-4 GHz, while consuming <0.3 pJ/bit using very simple I/O drivers [28].…”
Section: Silicon Interconnect Fabric: An Enablingmentioning
confidence: 94%
“…Rigid (polish-able) silicon wafer and copper pillar based IOs (bonded using thermal-compression bonding (TCB) at tight pitches) in Si-IF address both these limitations. 1 Since the interconnect wires on Si-IF are manufactured using standard back-end process, the wire pitch can scale like normal top-level metal in SoCs and well below 2 µm [27], [28]. This technology thus bridges the gap between the SoC level interconnects and system-level interconnects and allows a processor die to support the required number of I/O and power pins even without a package.…”
Section: Silicon Interconnect Fabric: An Enablingmentioning
confidence: 99%
See 1 more Smart Citation
“…Simple buffer based drivers and smaller capacitive load on each interconnect wire is expected to lower the energy per bit of communication as well. Our simulation analysis shows that <0.3 pJ/bit can be achieved on SiIF versus >5 pJ/bit and >10 pJ/bit required for interposer links and PCB links respectively [17].…”
Section: A Inter-chiplet Communication Bandwidth Latencymentioning
confidence: 99%
“…Without ESD, the latencies can go as low as 30-40ps. Detailed modelling and analysis of the interconnect characteristic is provided in [17]. Simple buffer based drivers and smaller capacitive load on each interconnect wire is expected to lower the energy per bit of communication as well.…”
Section: A Inter-chiplet Communication Bandwidth Latencymentioning
confidence: 99%