2018 IEEE International Symposium on High Performance Computer Architecture (HPCA) 2018
DOI: 10.1109/hpca.2018.00047
|View full text |Cite
|
Sign up to set email alerts
|

A Case for Packageless Processors

Abstract: Demand for increasing performance is far outpacing the capability of traditional methods for performance scaling. Disruptive solutions are needed to advance beyond incremental improvements. Traditionally, processors reside inside packages to enable PCB-based integration. We argue that packages reduce the potential memory bandwidth of a processor by at least one order of magnitude, allowable thermal design power (TDP) by up to 70%, and area efficiency by a factor of 5 to 18. Further, silicon chips have scaled w… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
4
0

Year Published

2018
2018
2024
2024

Publication Types

Select...
6
1
1

Relationship

0
8

Authors

Journals

citations
Cited by 19 publications
(5 citation statements)
references
References 52 publications
0
4
0
Order By: Relevance
“…Fourth, it is crucial to understand packaging options at the chip level as they are relevant to the intra-chip propagation. Traditionally, flip-chip packaging and wire bonding have been the most common, although multiple custom variants and alternatives exist depending on the final application [31], [32]. Flip-chip packaging is generally preferred in the multiprocessor context due to its lower inductance and higher power/bandwidth density [33].…”
Section: B Environment Descriptionmentioning
confidence: 99%
“…Fourth, it is crucial to understand packaging options at the chip level as they are relevant to the intra-chip propagation. Traditionally, flip-chip packaging and wire bonding have been the most common, although multiple custom variants and alternatives exist depending on the final application [31], [32]. Flip-chip packaging is generally preferred in the multiprocessor context due to its lower inductance and higher power/bandwidth density [33].…”
Section: B Environment Descriptionmentioning
confidence: 99%
“…Although a recent work suggests a packageless architecture [37], dies have historically included a package to (i) act as a space transformer for I/O pins, provide mechanical support to the dies, and (iii) for ease of testability and repairability. Some packages include a molding compound around the chip to improves mechanical stability [36], but its typically poor thermal conductivity discourages its use in hot architectures.…”
Section: B Flip-chip Packagementioning
confidence: 99%
“…Some packages include a molding compound around the chip to improves mechanical stability [36], but its typically poor thermal conductivity discourages its use in hot architectures. In most cases, even the packageless one [37], the die can be contacted directly by a Thermal Interface Material (TIM) with a metallic heat sink on top, avoiding the use of the molding compound. This work considers a flip-chip package with solder bumps.…”
Section: B Flip-chip Packagementioning
confidence: 99%
“…A second key challenge is that communication interfaces may be incompatible between chiplets, with various chiplets in the same package implementing different communication protocols, in addition to having different bandwidth and power requirements [13]. Although various chiplet-based interconnect protocols have been proposed [6,14,17,18], standardization efforts are still in their infancy and are not widely adopted [21].…”
Section: Introductionmentioning
confidence: 99%