2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC) 2010
DOI: 10.1109/aspdac.2010.5419887
|View full text |Cite
|
Sign up to set email alerts
|

Improved on-chip router analytical power and area modeling

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
16
0

Year Published

2013
2013
2023
2023

Publication Types

Select...
5
3
1

Relationship

1
8

Authors

Journals

citations
Cited by 22 publications
(16 citation statements)
references
References 18 publications
0
16
0
Order By: Relevance
“…Finally, the simulation takes place and the values obtained from the network simulator are used by the power-area estimator together with the power-energy-area expressions described in Section IV-A. Tools: A modified version of Booksim [21] was used for cycle accurate micro-architecture level network simulation and Orion3 [22,23] for the router-link power-area estimation. Orion was further modified to incorporate with our custom model (described in Section IV-B) for link pipelining.…”
Section: B Experimental Infrastructurementioning
confidence: 99%
“…Finally, the simulation takes place and the values obtained from the network simulator are used by the power-area estimator together with the power-energy-area expressions described in Section IV-A. Tools: A modified version of Booksim [21] was used for cycle accurate micro-architecture level network simulation and Orion3 [22,23] for the router-link power-area estimation. Orion was further modified to incorporate with our custom model (described in Section IV-B) for link pipelining.…”
Section: B Experimental Infrastructurementioning
confidence: 99%
“…The use of machine learning techniques has recently generated great interest with such techniques applied in design research problems [7,11,12]. The basic idea of machine learning is to use the actual implementation of some process or phenomenon to train or guide a model and then use it to predict the same metric for new input data.…”
Section: A Machine Learning In Vlsimentioning
confidence: 99%
“…Existing techniques of on-chip variation modeling during physical design are well established for 2D ICs but they need to be developed for 3D IC designs. Use of machine learning-based modeling techniques has recently gained a lot of interest [7]. In this work, we explore non-linear regression as the machine learning techniques to develop a fast accurate variation model for two-tier 3D ICs along with 2D ICs.…”
Section: Introductionmentioning
confidence: 99%
“…Dubois et al [8] use KG to estimate area of network-on-chip (NoC) routers. Kahng et al [16] use MARS to estimate NoC router area and power. Goel et al [9] demonstrate that weighted surrogate modeling is significantly more accurate than individual surrogate models.…”
Section: Related Workmentioning
confidence: 99%