2016
DOI: 10.6109/jicce.2016.14.4.258
|View full text |Cite
|
Sign up to set email alerts
|

Machine Learning Based Variation Modeling and Optimization for 3D ICs

Abstract: Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging withindie variations. This adds an additional design complexity and makes variation estimation and full-chip optimization even more challenging. In this paper, we show that the industry standard on-chip variation (AOCV) tables cannot be applied directly to 3D paths that are spanning multiple dies. We develop a new machine learning-based model and methodology for an accurate variation estimatio… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
1
1
1

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(1 citation statement)
references
References 12 publications
0
1
0
Order By: Relevance
“…Recent developments in the application of machine learning approaches to design research challenges have generated a lot of interest [10,11]. A model is trained or guided by the actual application of a process or phenomena, and then it is used to predict the same metric for new input…”
Section: Machine Learning Techniques In Embedded Memorymentioning
confidence: 99%
“…Recent developments in the application of machine learning approaches to design research challenges have generated a lot of interest [10,11]. A model is trained or guided by the actual application of a process or phenomena, and then it is used to predict the same metric for new input…”
Section: Machine Learning Techniques In Embedded Memorymentioning
confidence: 99%