Proceedings of the 2011 Conference on Design &Amp; Architectures for Signal &Amp; Image Processing (DASIP) 2011
DOI: 10.1109/dasip.2011.6136900
|View full text |Cite
|
Sign up to set email alerts
|

High-level modelling and automatic generation of dynamicaly reconfigurable systems

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
6
0

Year Published

2012
2012
2023
2023

Publication Types

Select...
3
2

Relationship

1
4

Authors

Journals

citations
Cited by 5 publications
(6 citation statements)
references
References 11 publications
0
6
0
Order By: Relevance
“…Ochoa et al [91] proposes an approach based on MARTE, exploiting the capabilities of IP-XACT to model and automatically generate DPR SoC designs. IP-XACT is an XML format that defines and describes individual, reusable electronic circuits facilitating their use in creating integrated circuits.…”
Section: Combined Control and Handling Of Events With Architecture St...mentioning
confidence: 99%
“…Ochoa et al [91] proposes an approach based on MARTE, exploiting the capabilities of IP-XACT to model and automatically generate DPR SoC designs. IP-XACT is an XML format that defines and describes individual, reusable electronic circuits facilitating their use in creating integrated circuits.…”
Section: Combined Control and Handling Of Events With Architecture St...mentioning
confidence: 99%
“…Communication between components, based on IP-XACT, has been addressed in [2,16], which exploit IP-XACT to determine the correct configuration parameters for components that do not conform to standard interfaces or protocols. However, such methodologies are restricted to HDL descriptions modeled on the synchronous dataflow model of computation.…”
Section: The Ip-xact Standardmentioning
confidence: 99%
“…IP-XACT has been introduced as a standard for describing component interfaces and communication styles [1]. It provides metamodel information about the IPs (i.e., the adopted interface and protocols), that can be used for integration, composition and configuration of already existing components [2,16]. However, state of the art methodologies focus on digital RTL or TLM designs, thus excluding a large part of components and domains included in heterogeneous embedded systems (e.g., analog components of embedded SW).…”
Section: Introductionmentioning
confidence: 99%
“…We make use of design descriptions for two purposes: for describing the top level architecture, as described in [16], but also to describe the implementation of customizable and DPR IPs. In IP-XACT, hierarchical components can be an instance of a given top-level design, but make reference to reference another design, describing its internal implementation sub-components.…”
Section: Design Descriptionmentioning
confidence: 99%
“…The methodology for IP customization is explained in more detail in this section. This work is an extension of the approach presented in [16], which was used for system generation of the top level description of the DPR design. As mentioned before, the DPR design flow requires, as inputs, the netlists for the top level design and for the reconfigurable IPs.…”
Section: Ip Customization Conception Flowmentioning
confidence: 99%