Design, Automation &Amp; Test in Europe Conference &Amp; Exhibition (DATE), 2013 2013
DOI: 10.7873/date.2013.370
|View full text |Cite
|
Sign up to set email alerts
|

Fast and Efficient Lagrangian Relaxation-Based Discrete Gate Sizing

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
13
0

Year Published

2013
2013
2021
2021

Publication Types

Select...
6

Relationship

0
6

Authors

Journals

citations
Cited by 10 publications
(13 citation statements)
references
References 0 publications
0
13
0
Order By: Relevance
“…In [6], foundations for LR-based gate sizing approach is first established, which considers continuous sizing and simple delay models. The LRbased approach is then continuously got improved as people are combining it with library-based timing model, discrete gate sizing, V th assignment, dynamic programming and network flow algorithms [18] [19] [20] [21]. The advantage of LRbased approach is that it can be easily modified to handle different objectives and various complex design constraints.…”
Section: A Gate Selection Techniques For Synchronous Circuitsmentioning
confidence: 99%
See 1 more Smart Citation
“…In [6], foundations for LR-based gate sizing approach is first established, which considers continuous sizing and simple delay models. The LRbased approach is then continuously got improved as people are combining it with library-based timing model, discrete gate sizing, V th assignment, dynamic programming and network flow algorithms [18] [19] [20] [21]. The advantage of LRbased approach is that it can be easily modified to handle different objectives and various complex design constraints.…”
Section: A Gate Selection Techniques For Synchronous Circuitsmentioning
confidence: 99%
“…There are even organized gate sizing contests [16] [17] to help expose the challenges faced in modern industrial designs to the academic field. One powerful heuristic approach adopted by leading synchronous gate selection algorithms [18] [19] is to apply the Lagrangian relaxation (LR) technique. In [6], foundations for LR-based gate sizing approach is first established, which considers continuous sizing and simple delay models.…”
Section: A Gate Selection Techniques For Synchronous Circuitsmentioning
confidence: 99%
“…The performance of Lagrangian relaxation techniques on ISPD-2012 benchmarks was described in [11,12]. Empirically, runtimes show significant improvement over [7], but at the cost of increased leakage.…”
Section: Comparisons To Prior Researchmentioning
confidence: 99%
“…Empirically, runtimes show significant improvement over [7], but at the cost of increased leakage. Interconnect delay modeling and optimization are not discussed in [11,12]. These considerations completely change the nature of the overall optimization, making it impossible to reliably extrapolate the performance of Lagrangian relaxation to the ISPD-2013 benchmark suite, as several algorithmic components must be developed to enable a full comparison.…”
Section: Comparisons To Prior Researchmentioning
confidence: 99%
“…For a fair assessment, we obtain timing-feasible solutions for all testcases. Our approach uses the academic tool [17] to perform timing recovery and changes .sdc files to generate timing-feasible solutions. Issue C2: For assessment across different technologies, we would like to ensure that input netlists and sizing/Vt solution spaces are preserved across technologies.…”
Section: Enablement Of Gate Sizing Assessmentsmentioning
confidence: 99%