Proceedings of the 24th Edition of the Great Lakes Symposium on VLSI 2014
DOI: 10.1145/2591513.2591540
|View full text |Cite
|
Sign up to set email alerts
|

Horizontal benchmark extension for improved assessment of physical CAD research

Abstract: The rapid growth in complexity and diversity of IC designs, design flows and methodologies has resulted in a benchmark-centric culture for evaluation of performance and scalability in physicaldesign algorithm research. Landmark papers in the literature present vertical benchmarks that can be used across multiple design flow stages; artificial benchmarks with characteristics that mimic those of real designs; artificial benchmarks with known optimal solutions; as well as benchmark suites created by major compani… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
5
0

Year Published

2015
2015
2023
2023

Publication Types

Select...
8

Relationship

0
8

Authors

Journals

citations
Cited by 21 publications
(5 citation statements)
references
References 33 publications
0
5
0
Order By: Relevance
“…Benchmarks: We conduct our comprehensive experiments using in total 28 benchmarks, selected not only from the "traditional" suites (i.e., ISCAS-85, MCNC, and ITC-99), but also from the large-scale IBM superblue suite [22]. For the latter, we leverage scripts from [23] to generate LEF/DEF files, but we also use the Nangate 45nm library [21] while doing so.…”
Section: Experimental Investigationmentioning
confidence: 99%
See 1 more Smart Citation
“…Benchmarks: We conduct our comprehensive experiments using in total 28 benchmarks, selected not only from the "traditional" suites (i.e., ISCAS-85, MCNC, and ITC-99), but also from the large-scale IBM superblue suite [22]. For the latter, we leverage scripts from [23] to generate LEF/DEF files, but we also use the Nangate 45nm library [21] while doing so.…”
Section: Experimental Investigationmentioning
confidence: 99%
“…Placement Perturbation [12] Routing Perturbation [15] Proposed [12] tends to provide netlists with combinatorial loops, hindering their simulation. Those netlists have been post-processed using scripts of [23]. For the benchmark c6288, the post-processed netlist still fails simulation, due to "UNKNOWN" nets.…”
Section: Benchmark Original Layoutmentioning
confidence: 99%
“…Test cases: We evaluate our proposed defense on 12 benchmarks, seven from the ISCAS-85 suite and five from the industrial IBM superblue suite [14]. We convert the superblue benchmarks (initially defined in Bookshelf format) to Verilog files using scripts from [15].…”
Section: Methodsmentioning
confidence: 99%
“…Benchmarks: We conduct our experiments on traditional benchmarks suites (ISCAS-85, MCNC, and ITC-99), on the large-scale EPFL suite [33], and on the industrial IBM superblue circuits [34] (Table III). For the IBM superblue circuits, we leverage [38] to synthesize and generate the layouts for further analysis. As for SAT attacks, we pre-process the sequential circuits (IBM superblue) as follows: the inputs (and outputs) of all flip-flops become primary outputs (and inputs); thereafter, the flip-flops are removed.…”
Section: Security Analysis a Study On Large-scale Ip Protection Amentioning
confidence: 99%