2013
DOI: 10.1109/tdmr.2012.2235441
|View full text |Cite
|
Sign up to set email alerts
|

Failure Analysis of Asymmetric Aging Under NBTI

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
9
0

Year Published

2015
2015
2021
2021

Publication Types

Select...
5

Relationship

0
5

Authors

Journals

citations
Cited by 19 publications
(10 citation statements)
references
References 22 publications
0
9
0
Order By: Relevance
“…The results show that on average the lifetime increases 34% compared to the conventional technique while the best (worst) corresponds to 77% (4%). Next, we study the results for the second objective function formulated in (10). In this case, first, for each benchmark, we solved the optimization problem using the conventional approach.…”
Section: Resultsmentioning
confidence: 99%
See 2 more Smart Citations
“…The results show that on average the lifetime increases 34% compared to the conventional technique while the best (worst) corresponds to 77% (4%). Next, we study the results for the second objective function formulated in (10). In this case, first, for each benchmark, we solved the optimization problem using the conventional approach.…”
Section: Resultsmentioning
confidence: 99%
“…If, however, the gating technique is invoked, the asymmetric aging causes some additional clock skew in the network. The effects of asymmetric aging due to usage of clock gating schemes are discussed in [9,10,[13][14][15].…”
Section: Previous Workmentioning
confidence: 99%
See 1 more Smart Citation
“…The models, however, were complicated as they used the Taylor series expansion and Chebyshev polynomial to fit the gate delay degradation. The works presented in [11] and [12], used the upper bound of the NBTI delay degradation, given in [3], to predict the timing violations of the combinational logic path under the NBTI-induced asymmetric aging. In [11], the aginginduced gate delay degradation for the library cells was formulated as a function of the threshold and supply voltages.…”
Section: Related Workmentioning
confidence: 99%
“…The works presented in [11] and [12], used the upper bound of the NBTI delay degradation, given in [3], to predict the timing violations of the combinational logic path under the NBTI-induced asymmetric aging. In [11], the aginginduced gate delay degradation for the library cells was formulated as a function of the threshold and supply voltages. The expression then was used to calculate the degradation as a function of the threshold voltage shift without relying on the time consuming circuit simulations.…”
Section: Related Workmentioning
confidence: 99%