2008 41st IEEE/ACM International Symposium on Microarchitecture 2008
DOI: 10.1109/micro.2008.4771785
|View full text |Cite
|
Sign up to set email alerts
|

Facelift: Hiding and slowing down aging in multicores

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
131
0

Year Published

2012
2012
2019
2019

Publication Types

Select...
5
1
1

Relationship

0
7

Authors

Journals

citations
Cited by 206 publications
(131 citation statements)
references
References 30 publications
0
131
0
Order By: Relevance
“…Results were gathered just for the memory cell with the highest number of flips (not necessarily the same cell across the studied approaches), which is the one that suffers the highest HCI wearout. Results have been computed using a standard dV th formula [8] and assuming a 3-year lifetime for our 32nm technology node [3]. To complete this execution period, we have assumed that the simulated amount of 500M instructions is repeated over and over until the established period is reached.…”
Section: Analysis Of DV Th Savingsmentioning
confidence: 99%
See 2 more Smart Citations
“…Results were gathered just for the memory cell with the highest number of flips (not necessarily the same cell across the studied approaches), which is the one that suffers the highest HCI wearout. Results have been computed using a standard dV th formula [8] and assuming a 3-year lifetime for our 32nm technology node [3]. To complete this execution period, we have assumed that the simulated amount of 500M instructions is repeated over and over until the established period is reached.…”
Section: Analysis Of DV Th Savingsmentioning
confidence: 99%
“…The former effect increases with transistor activity over the lifetime of the processor; that is, when the cell contents flip from logic '0' to '1' and vice versa, leading to threshold voltage (V th ) degradation (∆V th ∝ p active time × num flips/num cycles) [8], which in turn causes an increase in transistor switching delay (∆Delay ∝ 1/(V dd − ∆V th ) α , α > 1) [8] and can result in faulty operation. On the other hand, BTI accelerates the cell degradation when a given logic value is stored for a long time.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…We model a 4-wide issue core, which is similar to those in multicore processors. We assume the initial threshold voltage of the PMOS devices in the memory cells to be 0.2 V and the service life of the processor to be 7 years based on the work by Tiwari and Torrellas [15]. Previous work [18] has shown that, of these three metrics, the SNM is the one that is most heavily affected by NBTI and therefore we use SNM as the reliability metric in this chapter.…”
Section: Experimental Methodology For the Architecture Level Analysismentioning
confidence: 99%
“…Using RAMP, they show that dynamic voltage scaling is an effective response technique for DRM, and that dynamic thermal management neither subsumes nor is subsumed by DRM. Tiwari and Torrellas propose a technique called "Facelift" [15] to hide the effects of aging through temperature-based job-scheduling to individual cores of a multicore processor. Facelift hides the effects of aging by steering hightemperature jobs to the fast cores and low-temperature jobs to the slow cores.…”
Section: Stress Reduction Techniques For Nbtimentioning
confidence: 99%