35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings.
DOI: 10.1109/micro.2002.1176263
|View full text |Cite
|
Sign up to set email alerts
|

Dynamic frequency and voltage control for a multiple clock domain microarchitecture

Abstract: We describe the design, analysis, and performance of an on-line

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

2
175
0
2

Publication Types

Select...
5
2

Relationship

0
7

Authors

Journals

citations
Cited by 92 publications
(179 citation statements)
references
References 19 publications
(41 reference statements)
2
175
0
2
Order By: Relevance
“…Multiple clock domains may be required either due to different external frequencies, or the integration of modules that were designed to operate on different frequencies, or to facilitate clock gating and partitioning of large and fast clock trees. In addition, frequency and voltage may also be changed dynamically in Dynamic Voltage and Frequency Scaling (DVFS) systems [1]- [3], mainly to reduce power consumption.…”
Section: Introductionmentioning
confidence: 99%
“…Multiple clock domains may be required either due to different external frequencies, or the integration of modules that were designed to operate on different frequencies, or to facilitate clock gating and partitioning of large and fast clock trees. In addition, frequency and voltage may also be changed dynamically in Dynamic Voltage and Frequency Scaling (DVFS) systems [1]- [3], mainly to reduce power consumption.…”
Section: Introductionmentioning
confidence: 99%
“…Second, we propose a new DVS policy that adapts the core and L2 cache speeds in a way that avoids these inefficiencies, taking into account domain interactions. Third, we show positive gains of our policy against a well-known online DVS policy [3].…”
Section: Introductionmentioning
confidence: 59%
“…The performance penalty is less than 5% and 6.5%, respectively. Compared to a well-known online MCD DVS policy [3], we show an additional improvement in the energy-delay product of 3.5% and 7%, on average (up to 13%), with minimal performance degradation. Our policy requires no additional hardware beyond what is already available in MCD design.…”
Section: Introductionmentioning
confidence: 74%
See 2 more Smart Citations