High Performance Embedded Architectures and Compilers
DOI: 10.1007/978-3-540-77560-7_15
|View full text |Cite
|
Sign up to set email alerts
|

Integrated CPU Cache Power Management in Multiple Clock Domain Processors

Abstract: Abstract. Multiple clock domain (MCD) chip design addresses the problem of increasing clock skew in different chip units. Importantly, MCD design offers an opportunity for fine grain power/energy management of the components in each clock domain with dynamic voltage scaling (DVS). In this paper, we propose and evaluate a novel integrated DVS approach to synergistically manage the energy of chip components in different clock domains. We focus on embedded processors where core and L2 cache domains are the major … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
3
0

Publication Types

Select...
3
1

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(3 citation statements)
references
References 15 publications
(21 reference statements)
0
3
0
Order By: Relevance
“…Previous studies use DVS/DFS, multithreaded or multi-core process migration to reduce thermals. AbouGhazaleh et al [14] proposed an integrated DVS approach to synergistically manage the energy of chip components in different clock domains. The proposed policy adapts clock speed and voltage in both domains based on each domain's workload and the workload experienced by the other domain.…”
Section: Cpumentioning
confidence: 99%
“…Previous studies use DVS/DFS, multithreaded or multi-core process migration to reduce thermals. AbouGhazaleh et al [14] proposed an integrated DVS approach to synergistically manage the energy of chip components in different clock domains. The proposed policy adapts clock speed and voltage in both domains based on each domain's workload and the workload experienced by the other domain.…”
Section: Cpumentioning
confidence: 99%
“…As processors consume a large portion of power in computer systems, it is instinctive to reduce power consumptions of processors. AbouGhazaleh et al [14] proposed an integrated DVS approach to synergistically manage the energy of chip components in different clock domains. Lin et al [15] proposed User-Driven Frequency Scaling (UDFS) and Process-Driven Voltage Scaling (PDVS) that can be readily employed independently or together for energy management.…”
Section: Existing Dynamic Voltage/frequency Scaling Algorithmsmentioning
confidence: 99%
“…Such paradigm introduces multiple clock domains and accommodates cores and/or IPs to operate at different frequencies while in a single system. Multiple clock domains are also widely effective not only for implementing different functional blocks but also for power management and energyefficient system design [6].…”
Section: Introductionmentioning
confidence: 99%