2022
DOI: 10.1109/jlt.2021.3104725
|View full text |Cite
|
Sign up to set email alerts
|

Co-Packaged Photonics For High Performance Computing: Status, Challenges And Opportunities

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
24
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
5
2

Relationship

0
7

Authors

Journals

citations
Cited by 54 publications
(24 citation statements)
references
References 29 publications
0
24
0
Order By: Relevance
“…At these baud rates, the electrical power needed to maintain signal integrity, limits the transmission distance over copper traces. This leads us to the CPO [10,15], where the optical transceivers are small, highly integrated, and assembled close to the host, which in many cases is a high-speed switch, on a common substrate. This is a departure from the traditional pluggable module approach to optical transceivers.…”
Section: Table I Transceiver Module Types and Capabilitiesmentioning
confidence: 99%
See 1 more Smart Citation
“…At these baud rates, the electrical power needed to maintain signal integrity, limits the transmission distance over copper traces. This leads us to the CPO [10,15], where the optical transceivers are small, highly integrated, and assembled close to the host, which in many cases is a high-speed switch, on a common substrate. This is a departure from the traditional pluggable module approach to optical transceivers.…”
Section: Table I Transceiver Module Types and Capabilitiesmentioning
confidence: 99%
“…The optical sources are either heterogeneously attached InP DFB lasers [3] or DFB lasers processed on the silicon platform, from heterogeneously attached InP epitaxial material [4,9]. Although there are multiple approaches to package integration [6,10], the method we have described here uses the silicon photonics device as an interposer, with TSV's.…”
Section: Introductionmentioning
confidence: 99%
“…Intel’s liquid cooling solution is for 540 W of switch IC and 56 W of each CPO. According to the conditions given in the article, they lowered the temperature of the EIC (Electronics IC) by 35°C and the switch die by 8°C [ 1 ]. Cisco’s heat sink and cold plate assembly is a great plan [ 23 ].…”
Section: 5d and 3d Advanced Packaging For Co-packaged Optics (Cpo)mentioning
confidence: 99%
“…1 Introduction 1 The importance of co-packaged optics (CPO). Datacenter traffic keeps growing with the expansion of data-intensive applications, such as AI and high-performance computing (HPC).…”
mentioning
confidence: 99%
“…As is discussed toward the end of the paper, a great deal of research is currently underway into "copackaged optics" (CPO) for data center switch ASICs, which have aggregated bandwidths expected to exceed 100 Tb/s by 2026. Intel has been leading the effort to develop CPO based on silicon photonics microtransceivers or "chiplets" coassembled with switch ASICs onto common carriers [1][2][3] to accommodate these astronomical bandwidths in data center switch ASICs. However, the vast majority of systems in data centers, what we refer to as sub-TOR systems, are servers and data storage arrays, which in hyperscale data centers number in the hundreds of thousands.…”
Section: Introductionmentioning
confidence: 99%