2009 Design, Automation &Amp; Test in Europe Conference &Amp; Exhibition 2009
DOI: 10.1109/date.2009.5090675
|View full text |Cite
|
Sign up to set email alerts
|

Analysis and optimization of NBTI induced clock skew in gated clock trees

Abstract: NBTI (Negative Bias Temperature Instability) has emerged as the dominant PMOS device failure mechanism for sub100nm VLSI designs. There is little research to quantify its impact on skew of clock trees. This paper demonstrates a mathematical framework to compute the impact of NBTI on gating-enabled clock tree considering their workload dependent temperature variation. Circuit design techniques are proposed to deal with NBTI induced clock skew by achieving balance in NBTI degradation of clock devices. Our techni… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
11
0

Year Published

2012
2012
2022
2022

Publication Types

Select...
3
2
2

Relationship

0
7

Authors

Journals

citations
Cited by 19 publications
(11 citation statements)
references
References 9 publications
0
11
0
Order By: Relevance
“…Also, when the skew degradations is large, the use of the technique may not be practical [15]. A technique for equalizing the signal probability (SP) of all clock tree trunks for balancing the NBTI stress is presented in [26]. In addition, to estimate the NBTI effect, a compact formula for computing equivalent temperatures under a Gaussian temporal temperature variation is proposed.…”
Section: Previous Workmentioning
confidence: 99%
“…Also, when the skew degradations is large, the use of the technique may not be practical [15]. A technique for equalizing the signal probability (SP) of all clock tree trunks for balancing the NBTI stress is presented in [26]. In addition, to estimate the NBTI effect, a compact formula for computing equivalent temperatures under a Gaussian temporal temperature variation is proposed.…”
Section: Previous Workmentioning
confidence: 99%
“…This scenario accelerates a process of transistor performance degradation (called ageing). Extensive usage of clock gates in the design for power reduction [8,9] causes portions of the clock tree to be inactive at different points in time, resulting in differential ageing scenarios on the clock tree. If some of the clocks are kept gated at all times during the burnin process, there can result an asymmetric stress of N & P transistors causing the additional N/P strength mismatches that can give rise to duty cycle distortion.…”
Section: Clock Distortion Due To Local Mismatchesmentioning
confidence: 99%
“…13. The ICG cell proposed in [5]. gating probability, the method may aggravate rather than reduce N/PBTI-induced skew.…”
Section: Related Workmentioning
confidence: 99%
“…al. [5] proposed an ICG cell design (see Fig. 13) that can alternate the clock state based on an external signal AUX.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation