ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005.
DOI: 10.1109/isscc.2005.1493904
|View full text |Cite
|
Sign up to set email alerts
|

An H.264/MPEG-4 audio/visual codec LSI with module-wise dynamic voltage/frequency scaling

Abstract: A single-chip H.264/MPEG-4 audiovisual LSI for mobile applications including terrestrial digital broadcasting systems such as ISDB-T and DVB-H with a module-wise dynamic voltage/frequency scaling architecture is fabricated in a 90nm 6M CMOS technology. This LSI operates even during the voltage/frequency transition, so there is no performance overhead. Voltage/frequency scaling is realized by a dynamic deskewing system and an on-chip voltage regulator with slew rate control. Figure 7.3.1 shows a micrograph of t… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
8
0

Publication Types

Select...
5
2

Relationship

1
6

Authors

Journals

citations
Cited by 19 publications
(8 citation statements)
references
References 4 publications
0
8
0
Order By: Relevance
“…A V DD -hopping scheme [2] where the supply voltage is dynamically controlled according to workload of a processor is known as one of the representative low power design methodologies employing hardwaresoftware cooperatively oriented algorithm. In addition, a DVS (Dynamic Voltage Scaling) [3] and a DVFS (Dynamic Voltage Frequency Scaling) [4], lowering a frequency and supply voltage as long as lower performance is allowed, are becoming a standard approach for reducing active power consumption when performance requirements vary. The design style of voltage scaling which is moving from coarse-grained approaches to fine-grained ones even aims at scaling the threshold voltage (V th ) in small steps [5].…”
Section: Low Power Technique By Dynamic Voltage Controlmentioning
confidence: 99%
“…A V DD -hopping scheme [2] where the supply voltage is dynamically controlled according to workload of a processor is known as one of the representative low power design methodologies employing hardwaresoftware cooperatively oriented algorithm. In addition, a DVS (Dynamic Voltage Scaling) [3] and a DVFS (Dynamic Voltage Frequency Scaling) [4], lowering a frequency and supply voltage as long as lower performance is allowed, are becoming a standard approach for reducing active power consumption when performance requirements vary. The design style of voltage scaling which is moving from coarse-grained approaches to fine-grained ones even aims at scaling the threshold voltage (V th ) in small steps [5].…”
Section: Low Power Technique By Dynamic Voltage Controlmentioning
confidence: 99%
“…Many of such works are based on scaling voltage and frequency on the logic gates, which make use of the performance margins available from dynamic variation in the performance requirements of the system [2], [3]. While the primary assumption of such techniques was to keep 100% correctness of the circuit functionality, later proposals [5], [6] exploited the error resiliency within the logic paths of the video codec circuits to push the voltage levels below the reliable operating points imposed by variabilities and imperfections in the fabrication process of sub 100 nanometer technologies.…”
Section: Introductionmentioning
confidence: 99%
“…This is mainly because increasing the number of processors is generally more power/performanceefficient than increasing the clock frequency. Specifically, multiprocessor systems-on-chip (MPSoCs) are considered to be a promising solution to achieve both high-performance and lowpower consumption and to be used in a wide range of embedded systems in future [1,2]. On the software side, real-time operating systems (RTOSs) have become commodity tools in order to manage the growing complexity of embedded software.…”
Section: Introductionmentioning
confidence: 99%