2010
DOI: 10.1109/tvlsi.2009.2019758
|View full text |Cite
|
Sign up to set email alerts
|

Adaptive and Deadlock-Free Tree-Based Multicast Routing for Networks-on-Chip

Abstract: Abstract-This paper presents the first synthesizable network-on-chip (NoC) based on a mesh topology, which supports adaptive and deadlock-free tree-based multicast routing without virtual channels. The deadlock-free routing algorithms for unicast and multicast packets are the same. Therefore, the routing function gate-level implementation is very efficient. Multicast packets are injected to the network by sending multiple packet headers beforehand. The packet headers contain destination addresses to set up mul… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
33
0

Year Published

2011
2011
2022
2022

Publication Types

Select...
4
4

Relationship

1
7

Authors

Journals

citations
Cited by 47 publications
(33 citation statements)
references
References 27 publications
(36 reference statements)
0
33
0
Order By: Relevance
“…The size of ID-tags in the RRT can be set less than the number of node entries in the NoC to run specific data distribution scenarios. This case has been experimented in [25] and [26] by setting 16 ID-tags per link in the 80 cores (mesh 8 Â 10) NoC.…”
Section: Discussionmentioning
confidence: 99%
See 2 more Smart Citations
“…The size of ID-tags in the RRT can be set less than the number of node entries in the NoC to run specific data distribution scenarios. This case has been experimented in [25] and [26] by setting 16 ID-tags per link in the 80 cores (mesh 8 Â 10) NoC.…”
Section: Discussionmentioning
confidence: 99%
“…The "wormhole cut-through switching" is implementable by attaching a local ID-tag on each flit of packets, where flits belonging to the same packets will have the same local ID-tag on every local communication channel [24], [25], [26]. Furthermore, an arbitration unit distributed at every output port m must rotate (circulate) its selection among requests at instant time t s .…”
Section: Multicast Contention Control and Managementmentioning
confidence: 99%
See 1 more Smart Citation
“…6 Deadlock has been dealt with by interleaving words from up to n packets on the same link (for n nodes) [30], extending the approach used in the unicast case, where two packets are interleaved using virtual channels on the same physical link [31]. In both cases, the destination must either provide enough buffering to locally reconstruct entire packets or employ non-blocking multiport memory structures to do so (see Discussion in [30]). These options are not viable for our application.…”
Section: Routing Algorithmmentioning
confidence: 99%
“…However, it has to maintain the big virtual circuit tree table and needs to send a setup packet to build a tree before data transmission, which involves large storage resources overhead and multicast latency. Combined with wormhole switching, there are many other tree-based multicast schemes [5,6]. But as far as we know, none of them had completely solved the complex multicast problem coming with this mechanism.…”
Section: Introductionmentioning
confidence: 99%