2004
DOI: 10.2494/photopolymer.17.587
|View full text |Cite
|
Sign up to set email alerts
|

193 nm Immersion Lithography-Taking the Plunge

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
17
0

Year Published

2005
2005
2016
2016

Publication Types

Select...
4
4
2

Relationship

2
8

Authors

Journals

citations
Cited by 30 publications
(17 citation statements)
references
References 7 publications
0
17
0
Order By: Relevance
“…2,3 First proposed in the 1980's, 1-3 immersion lithography was not seriously considered until recently, 4 but has now become the primary technology plan for reaching the 45 nm node of the International Technology Roadmap for Semiconductors. 5,6 Water has been proposed as the ideal immersion fluid to be coupled with current 193 nm lithography technology because of its compatibility with current manufacturing techniques, its low absorbance (α 193 = 0.036 cm -1 base 10), 7 and high refractive index (n 193 = 1.437). 8 One of the initial concerns about incorporating water into the lithographic process was the potential effects of direct contact between the water and a photoresist film.…”
Section: Introductionmentioning
confidence: 99%
“…2,3 First proposed in the 1980's, 1-3 immersion lithography was not seriously considered until recently, 4 but has now become the primary technology plan for reaching the 45 nm node of the International Technology Roadmap for Semiconductors. 5,6 Water has been proposed as the ideal immersion fluid to be coupled with current 193 nm lithography technology because of its compatibility with current manufacturing techniques, its low absorbance (α 193 = 0.036 cm -1 base 10), 7 and high refractive index (n 193 = 1.437). 8 One of the initial concerns about incorporating water into the lithographic process was the potential effects of direct contact between the water and a photoresist film.…”
Section: Introductionmentioning
confidence: 99%
“…One possible solution to the above described issues is the use of top barrier coating. [51] Such coatings isolate the resist layer from the immersion medium, and thus can make it possible to use existing resist formulations with minimal formulation optimization. Other challenges for the extension of 193 nm immersion lithography include collapse behavior of patterns at small feature size, contamination from higher refractive index immersion media other than water, as well as issues caused by ever rising requirements along the roadmap, e.g., specifications for line width roughness, post-exposure bake (PEB) sensitivity, defectivity, etc.…”
Section: Nm Immersion Lithographymentioning
confidence: 99%
“…1 While immersion-specific optimization and tweaking will undoubtedly be required, it is anticipated that the existing monomers, such as the various adamantane derivatives and lactones commonly used in 193 nm dry lithography, will also form the basis for 193 nm immersion photoresists. The stable of monomers used in this platform may possibly be augmented by fluoroalcohol-substituted derivatives, but if this development should come about, it would also be expected to occur in 193 nm dry lithography.…”
Section: Introductionmentioning
confidence: 99%