2011 IEEE Hot Chips 23 Symposium (HCS) 2011
DOI: 10.1109/hotchips.2011.7477515
|View full text |Cite
|
Sign up to set email alerts
|

VENICE: A compact vector processor for FPGA applications

Abstract: Abstract-This paper presents VENICE, a new soft vector processor (SVP) for FPGA applications. VENICE differs from previous SVPs in that it was designed for maximum throughput with a small number (1 to 4) of ALUs. By increasing clockspeed and eliminating bottlenecks in ALU utilization, VENICE can achieve over 2x better performance-per-logic block than VEGAS, the previous best SVP. While VENICE can scale to a large number of ALUs, a multiprocessor system of smaller VENICE SVPs is shown to scale better for benchm… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
7
0

Year Published

2013
2013
2018
2018

Publication Types

Select...
6

Relationship

2
4

Authors

Journals

citations
Cited by 7 publications
(7 citation statements)
references
References 6 publications
0
7
0
Order By: Relevance
“…There have been many proposed soft processor architectures with improved performance, such as using multithreading [1], VLIW processors [2], vector processors [3], and even architectures developed from a first-principles examination of an FPGA's capabilities [4]. However, in order to preserve the familiar single-threaded programming model, superscalar and out of order processors are required, even though they are generally less efficient than specialized architectures.…”
Section: Introductionmentioning
confidence: 99%
“…There have been many proposed soft processor architectures with improved performance, such as using multithreading [1], VLIW processors [2], vector processors [3], and even architectures developed from a first-principles examination of an FPGA's capabilities [4]. However, in order to preserve the familiar single-threaded programming model, superscalar and out of order processors are required, even though they are generally less efficient than specialized architectures.…”
Section: Introductionmentioning
confidence: 99%
“…These SVPs were traditional load/store vector architectures. The first scratchpad-based SVP was VEGAS [1] followed by VENICE [4]. VENICE added 2D/3D vector instructions (but only 1D DMA), as well as condition codes using the 9th bit of FPGA memory blocks.…”
Section: Related Workmentioning
confidence: 99%
“…The vector components are automatically formed by linking several smaller components together. Exploiting vectorization requires the programmer to use certain pre-defined builtin-functions (conceptually similar to [21]). …”
Section: Accelerators and Hyper-tasksmentioning
confidence: 99%