2002
DOI: 10.1145/567270.567271
|View full text |Cite
|
Sign up to set email alerts
|

Ust/Dme

Abstract: In this article, we propose new approaches for solving the useful-skew tree (UST) routing problem [Xi and Dai 1997]: clock routing subject to general skew constraints. The clock layout synthesis engine of our UST algorithms is based on the deferred-merge embedding (DME) paradigm for the zero-skew tree (ZST) [Edahiro 1992;Chao et al. 1992] and bounded-skew tree (BST) [Cong and Koh 1995;Huang et al. 1995;Kahng and Tsao 1997;Cong et al. 1998] routings; hence, the names UST/DME and Greedy-UST/DME for our UST algor… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
47
0

Year Published

2004
2004
2022
2022

Publication Types

Select...
5
3
2

Relationship

0
10

Authors

Journals

citations
Cited by 59 publications
(47 citation statements)
references
References 22 publications
0
47
0
Order By: Relevance
“…The skew specification can also be expressed as the delay target for each register directly. A clock distribution network, which is usually a tree rooted at the clock source, is constructed through clock routing [21] for a given register placement and skew specification, such that the skew specification is satisfied. The skew scheduling and clock routing procedures can also be integrated together [22].…”
Section: Basic Concepts a Clock Distribution Network Synthesis Amentioning
confidence: 99%
“…The skew specification can also be expressed as the delay target for each register directly. A clock distribution network, which is usually a tree rooted at the clock source, is constructed through clock routing [21] for a given register placement and skew specification, such that the skew specification is satisfied. The skew scheduling and clock routing procedures can also be integrated together [22].…”
Section: Basic Concepts a Clock Distribution Network Synthesis Amentioning
confidence: 99%
“…Obtain initial clock tree from any of the available clock tree routing algorithms in the literature like [17,18,20]. 2.…”
Section: Link Insertion Algorithm Overviewmentioning
confidence: 99%
“…The example in Figure 1 illustrates that different merging schemes (abstract trees) may provide different wirelength for non-zero skew clock routing. A few works [13,14] integrate skew scheduling with clock routing to exploit the useful skews. Starting with a zero skew routing tree, the work of [14] performs merging segment perturbation and gate sizing to minimize power consumption subject to setup-time and holdtime constraints for a fixed clock period time.…”
Section: Introductionmentioning
confidence: 99%