2010
DOI: 10.1002/spe.956
|View full text |Cite
|
Sign up to set email alerts
|

Two‐phase trace‐driven simulation (TPTS): a fast multicore processor architecture simulation approach

Abstract: Simulation is indispensable in computer architecture research. Researchers increasingly resort to detailed architecture simulators to identify performance bottlenecks, analyze interactions among different hardware and software components, and measure the impact of new design ideas on the system performance. However, the slow speed of conventional execution‐driven architecture simulators is a serious impediment to obtaining desirable research productivity. This paper describes a novel fast multicore processor a… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
7
0

Year Published

2011
2011
2020
2020

Publication Types

Select...
4
1
1

Relationship

1
5

Authors

Journals

citations
Cited by 8 publications
(12 citation statements)
references
References 42 publications
0
7
0
Order By: Relevance
“…We evaluate CloudCache with a detailed trace-driven CMP architecture simulator [25]. The parameters of the machine we model are given in Table 2.…”
Section: Methodsmentioning
confidence: 99%
“…We evaluate CloudCache with a detailed trace-driven CMP architecture simulator [25]. The parameters of the machine we model are given in Table 2.…”
Section: Methodsmentioning
confidence: 99%
“…Addressing the trade-off between performance and accuracy, trace-driven simulations accelerate the design space exploration by eliminating unnecessary details in the models [5,6]. The idea behind this method is to capture and abstract the behavior of processing elements in the form of application traces.…”
Section: Architecture-level Hardware/software Design Space Explomentioning
confidence: 99%
“…This kind of representation was widely used by trace memory simulation methods [Black et al 1996;Uhlig and Mudge 1997] that benefited from trace reduction techniques (e.g., trace stripping [Puzak 1985;Wang and Baer 1990]) to speed up simulation. More recently, some works [Lee et al 2009;Lee et al 2010] have proposed simulation techniques to accurately simulate the performance of in-order and out-of-order cores using memory access traces at this level of application abstraction.…”
Section: Application Abstraction Levelsmentioning
confidence: 99%
“…This simple extension allows accurate simulation of multi-core architectures using scratchpad memories, based on the fact that the computation on processing elements working on such local memories is independent of external events. Additionally, an intermediate level of abstraction incorporates traditional techniques from trace memory simulation [Uhlig and Mudge 1997;Lee et al 2010] to provide fast and accurate simulation of the memory system.…”
Section: Introductionmentioning
confidence: 99%