2012
DOI: 10.2494/photopolymer.25.87
|View full text |Cite
|
Sign up to set email alerts
|

The Physics of EUV Photoresist and How It Drives Strategies for Improvement

Abstract: EUV lithography requires high performance resists. The mechanism of light absorbance and acid generation is very different in EUV resists than in previous generations of chemically amplified resists. Resist absorbance must be driven up instead of down, which will require that new elements be incorporated into the resist. Some hafnium-containing resists have shown promise while maintaining a satisfactory etch resistance. These capabilities need to be built upon, and other metals need to be tested. The physics o… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3

Citation Types

0
3
0

Year Published

2015
2015
2024
2024

Publication Types

Select...
6
1

Relationship

0
7

Authors

Journals

citations
Cited by 15 publications
(4 citation statements)
references
References 22 publications
0
3
0
Order By: Relevance
“…2,[10][11][12] Although the standard platform for resist materials has been polymer-based chemically amplified resists, EUV lithography technology requires the development of entirely new resist platforms. 2,[13][14][15] As future nodes are continuously decreasing, the size of polymers traditionally used in photoresists has become a critical point especially with regard to linewidth roughness. 16 Furthermore, increasing EUV light absorption by the resist material is now one of the most important design criteria toward optimizing resist performance.…”
Section: Introductionmentioning
confidence: 99%
“…2,[10][11][12] Although the standard platform for resist materials has been polymer-based chemically amplified resists, EUV lithography technology requires the development of entirely new resist platforms. 2,[13][14][15] As future nodes are continuously decreasing, the size of polymers traditionally used in photoresists has become a critical point especially with regard to linewidth roughness. 16 Furthermore, increasing EUV light absorption by the resist material is now one of the most important design criteria toward optimizing resist performance.…”
Section: Introductionmentioning
confidence: 99%
“…EUV photolithography relies on photoinduced changes in the solubility of photoresist (PR) materials as the key step. , The change in solubility is primarily induced by fragmentation or cross-linking reactions of PR films upon interaction with secondary and thermal electrons derived from photoelectrons and Auger electrons under EUV illumination. ,, Previously reported PR materials, such as those optimized for deep-UV photolithography, have been found to be suboptimal for EUV photolithography despite a few successful applications . To explore efficient EUV PR materials, researchers have devoted particular effort to incorporating inorganic elements with large EUV absorption cross sections into PRs. For example, Re et al examined mononuclear Sn complexes and demonstrated that they can be used to produce dense line patterns with exceptionally small line-edge roughness (LER) values of ∼1 nm .…”
Section: Introductionmentioning
confidence: 99%
“…Hybrid inorganic-organic materials are catching the attention of scientists in the field of nanolithography in connection to the introduction of extreme ultraviolet (EUV) lithography. [1][2][3][4] This new technology is currently being implemented in the semiconductor industry in order to reach the sub-20 nm nodes required for the steady miniaturization of the components in integrated circuits. The much shorter wavelength (13.5 nm) employed by EUV lithography as compared to the ones used in traditional optical lithography (365, 248 or 193 nm light) allows to achieve the theoretical limit of 10 nm resolution in the optical projection.…”
Section: Introductionmentioning
confidence: 99%