2009 International Test Conference 2009
DOI: 10.1109/test.2009.5355688
|View full text |Cite
|
Sign up to set email alerts
|

Test point insertion using functional flip-flops to drive control points

Abstract: This paper presents a novel method for reducing the area overhead introduced by test point insertion. Test point locations are calculated as usual using a commercial tool. However, the proposed method uses functional flip-flops to drive control test points instead of test-dedicated flip-flops. Logic cone analysis that considers the distance and path inversion parity from candidate functional flip-flops to each control point is used to select an appropriate functional flip-flop to drive the control point which … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
23
0

Year Published

2009
2009
2020
2020

Publication Types

Select...
5
3

Relationship

2
6

Authors

Journals

citations
Cited by 12 publications
(23 citation statements)
references
References 23 publications
0
23
0
Order By: Relevance
“…A very efficient method to cope with RPR faults is test point insertion [9,10], used either to improve the controllability or observability of internal signals in the circuit [9,10,17]. Since test points add area and performance overhead, an important issue for test point insertion is where to place them in CUT in order to maximize the fault coverage at minimum number of test points.…”
Section: Overview About State-of-the-artmentioning
confidence: 99%
“…A very efficient method to cope with RPR faults is test point insertion [9,10], used either to improve the controllability or observability of internal signals in the circuit [9,10,17]. Since test points add area and performance overhead, an important issue for test point insertion is where to place them in CUT in order to maximize the fault coverage at minimum number of test points.…”
Section: Overview About State-of-the-artmentioning
confidence: 99%
“…There are two types of TPI methods, namely observation point insertion and control point insertion [27]. As shown in Fig.…”
Section: Test Point Insertionmentioning
confidence: 99%
“…With a conservative replacement rule [36], if both inversion parities are found along paths from the control point to one flip-flop, that flipflop is discarded from the candidate list. This guarantees that paths are always testable by having the opposite parity along the Functional Path and TP_Driver Path.…”
Section: Conservative Replacement Rulementioning
confidence: 99%
“…Preliminary results were presented in [36]. A key feature of the proposed approach is that it significantly reduces the test point area overhead by removing the dedicated flip-flops used for driving the control points.…”
Section: Introductionmentioning
confidence: 99%