2006
DOI: 10.1063/1.2374849
|View full text |Cite
|
Sign up to set email alerts
|

Temperature dependent metal-induced lateral crystallization of amorphous SiGe on insulating substrate

Abstract: Metal-induced lateral crystallization (MILC) of amorphous SiGe films on SiO2 has been investigated as a function of Ge fraction (0%–100%) and annealing temperature (320–550°C). High temperature annealing (>500°C) caused spontaneous nucleation in amorphous SiGe with a high Ge fraction (>70%). This suppressed the progress of MILC. Spontaneous nucleation was significantly suppressed by lowering the annealing temperature (<400°C). As a result, large poly-SiGe regions (>20μm) were observ… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3

Citation Types

2
57
0

Year Published

2008
2008
2020
2020

Publication Types

Select...
7
1

Relationship

2
6

Authors

Journals

citations
Cited by 82 publications
(59 citation statements)
references
References 8 publications
2
57
0
Order By: Relevance
“…In most of these cases, the research has been based on layered structures in which interfacial reactions take place at a-semiconductor/metal films [5][6][7][8][9][10]. A different and complementary approach to investigate the MIC process considers the insertion of a controlled and homogeneously distributed amount of metal atoms in the a-network [11].…”
Section: Introductionmentioning
confidence: 99%
“…In most of these cases, the research has been based on layered structures in which interfacial reactions take place at a-semiconductor/metal films [5][6][7][8][9][10]. A different and complementary approach to investigate the MIC process considers the insertion of a controlled and homogeneously distributed amount of metal atoms in the a-network [11].…”
Section: Introductionmentioning
confidence: 99%
“…Consequently, such a silicide acts as a seed for solid-phase epitaxial growth. This metal-induced lateral crystallization (MILC) has realized the growth of poly-Si with large grains ($10 lm) on insulating films, and has been investigated to apply to SiGe [12][13][14]. These grains are, however, composed of many small sub-grains resulting from a number of uncontrolled nucleations and the film contains large amounts of Ni.…”
Section: Introductionmentioning
confidence: 97%
“…For the successful integration of monolithic 3D-ICs, germanium (Ge) is considered as the channel material of transistors above conventional aluminum (Al) or copper (Cu) based interconnect layers because of its low melting point and high carrier mobility, enabling integration of high performance devices at low temperature which prevents the thermal degradation of bottom interconnect layers. In order to fabricate germanium on insulator (GeOI) structure at low temperatures for monolithic 3D-IC, various crystallization processes, such as solid phase crystallization (SPC), metal induced crystallization (MIC), and laser anneal, have been reported [1][2][3]. Up to present, the electrical properties including carrier type, concentration, and mobility were not clearly investigated in polycrystalline Ge (poly-Ge) films.…”
Section: Introductionmentioning
confidence: 98%