2019
DOI: 10.1116/1.5123397
|View full text |Cite
|
Sign up to set email alerts
|

Temperature and oxygen concentration effects on anisotropy in chromium hard mask etching for nanoscale fabrication

Abstract: Chromium and its oxides are valuable as functional materials and plasma-etching hard masks in micro- and nanofabrication. While the continuous decrease in feature sizes opens new opportunities for applications of patterned chromium, the demands placed on the patterning process require a new level of mechanistic understanding. In this work, we investigate plasma etching with chlorine/oxygen chemistry using temperature and oxygen concentration as a means to controllably pattern chromium at the nanometer level. B… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
3
0

Year Published

2021
2021
2021
2021

Publication Types

Select...
5

Relationship

0
5

Authors

Journals

citations
Cited by 6 publications
(3 citation statements)
references
References 58 publications
0
3
0
Order By: Relevance
“…For the second mask template, we employed a 100 nm thick Cr layer as a hard mask because of its high selectivity in Si deep reactive ion etching (DRIE) 34 . This material was also proven to be able to sustain as a mask during deep physical RIE of ~5 µm high vertical gallium nitride (GaN) nanowires, in which GaN is known as a wide-bandgap semiconductor possessing higher hardness, stiffness (i.e., Young’s modulus of 300–350 GPa), and mechanical stability than Si 33 , 35 38 .…”
Section: Resultsmentioning
confidence: 99%
“…For the second mask template, we employed a 100 nm thick Cr layer as a hard mask because of its high selectivity in Si deep reactive ion etching (DRIE) 34 . This material was also proven to be able to sustain as a mask during deep physical RIE of ~5 µm high vertical gallium nitride (GaN) nanowires, in which GaN is known as a wide-bandgap semiconductor possessing higher hardness, stiffness (i.e., Young’s modulus of 300–350 GPa), and mechanical stability than Si 33 , 35 38 .…”
Section: Resultsmentioning
confidence: 99%
“…Curtis et al [44] studied the evolution of Cr etching by varying the percentage of O 2 in CCl 4 /O 2 mixtures and observed a maximum of chromium etch rate for 50 %O 2 . Staaks et al [45,46] performed etching of Cr by using Cl 2 /O 2 plasma, observing a maximum of etch rate for 20-40 %O 2 . By studying the substrate temperature impact, they showed a strong increase in etch rate, from 5 to 40 nm/min when the substrate temperature increased from -80 o C to 40 o C. This evolution can be due to chemical etching of Cr.…”
Section: Literature Overviewmentioning
confidence: 99%
“…The plasma etching of Cr is currently almost exclusively reserved for chlorine-oxygen-based chemistry by forming chromyl chloride (CrO 2 Cl 2 ) as the final etch product. [29][30][31][32][33][34][35][36][37][38][39][40][41] The consensus is that both chlorine (e.g., Cl 2 plasma) and oxygen radicals (e.g., O 2 plasma) are needed to create the volatile chromyl chloride product. It was demonstrated by Abe et al 29 roughly 45 years ago and a few years later explained by Nakata et al 30 As both O and Cl radicals are needed to remove a Cr atom, possibly allowing any intermediate CrO x Cl y reaction path, the etch rate (ER) shows an optimum for a specific ratio between the supplied Cl 2 and O 2 gas.…”
Section: Introductionmentioning
confidence: 99%