10th European Workshop on Microelectronics Education (EWME) 2014
DOI: 10.1109/ewme.2014.6877402
|View full text |Cite
|
Sign up to set email alerts
|

Synopsys' Educational Generic Memory Compiler

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2

Citation Types

0
4
0

Year Published

2016
2016
2024
2024

Publication Types

Select...
4
3

Relationship

0
7

Authors

Journals

citations
Cited by 9 publications
(4 citation statements)
references
References 5 publications
0
4
0
Order By: Relevance
“…There are other memory compilation solutions [17] comparable to other companies' products [16]. Ass Generic Memory Compiler (GMC) [17] which is a tool designed to automatically generate multiple types of SRAM with different characteristics.…”
Section: Background and Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…There are other memory compilation solutions [17] comparable to other companies' products [16]. Ass Generic Memory Compiler (GMC) [17] which is a tool designed to automatically generate multiple types of SRAM with different characteristics.…”
Section: Background and Related Workmentioning
confidence: 99%
“…There are other memory compilation solutions [17] comparable to other companies' products [16]. Ass Generic Memory Compiler (GMC) [17] which is a tool designed to automatically generate multiple types of SRAM with different characteristics. offering a variety of capabilities and a simple user interface, very similar to those offered by other companies [16] for a wide number of configurations and architectures.…”
Section: Background and Related Workmentioning
confidence: 99%
“…In order to follow the contemporary rapid IC core design, which is supported via advanced EDA tools, memory compiler utilities [1][2][3] that accelerate and automate the production of SRAMS have been developed. With these utilities, the extraction of the memory's netlist along with power and timing reports is possible and, moreover, the extraction of the memory's layout view is an easy task.…”
Section: Introductionmentioning
confidence: 99%
“…Another recent, promising solution for academia is the Synopsys Generic Memory Compiler (GMC) [7]. The software is provided with sample generic libraries such as Synopsys' 32/28nm and 90nm abstract technologies and can generate the entire SRAM for these technologies.…”
Section: Introductionmentioning
confidence: 99%