Proceedings of the 35th International Conference on Computer-Aided Design 2016
DOI: 10.1145/2966986.2980098
|View full text |Cite
|
Sign up to set email alerts
|

OpenRAM: an open-source memory compiler

Abstract: Computer systems research is often inhibited by the availability of memory designs. Existing Process Design Kits (PDKs) frequently lack memory compilers, while expensive commercial solutions only provide memory models with immutable cells, limited configurations, and restrictive licenses. Manually creating memories can be time consuming and tedious and the designs are usually inflexible. This paper introduces OpenRAM, an open-source memory compiler, that provides a platform for the generation, characterization… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
43
0

Year Published

2016
2016
2022
2022

Publication Types

Select...
5
3
1

Relationship

3
6

Authors

Journals

citations
Cited by 119 publications
(43 citation statements)
references
References 15 publications
(16 reference statements)
0
43
0
Order By: Relevance
“…Interestingly, most memories do not fit the exact required memory size, so they have empty space that may affect the area and delay estimates. Because of this, the results might be significantly better if the memory compiler could produce sizes that fit the required interpolator size more accurately, such as with some open-source memory compilers [45]. Designs were synthesized using Synopsys R Design Compiler TM and the layout was produced using Synopsys R IC Compiler (ICC) TM .…”
Section: Implementation Resultsmentioning
confidence: 99%
“…Interestingly, most memories do not fit the exact required memory size, so they have empty space that may affect the area and delay estimates. Because of this, the results might be significantly better if the memory compiler could produce sizes that fit the required interpolator size more accurately, such as with some open-source memory compilers [45]. Designs were synthesized using Synopsys R Design Compiler TM and the layout was produced using Synopsys R IC Compiler (ICC) TM .…”
Section: Implementation Resultsmentioning
confidence: 99%
“…To address the slow single buffer delay, this paper proposed using both a fast uniform DWL topology and a fast, area-efficient non-uniform DWL topology. The non-uniform DWL topology uses an accurate analytical word line model that considers both gate and interconnect delays and can be used in a non-linear programming approach to enhance the performance of SRAMs word lines in memory compilers [1].…”
Section: Discussionmentioning
confidence: 99%
“…This paper focuses on detailed optimization of word line driver sizes and topologies in order to investigate the optimal word line driver configuration for a given memory size and technology. Such techniques can be included in memory compilers for high-performance memory variants [1]. A conventional word line driver using a single buffer topology is shown in Figure 1.…”
Section: Introductionmentioning
confidence: 99%
“…The purpose of this work is to augment the OpenRAM [3] memory compiler with multi-port extensions. The contributions presented in this paper are:…”
Section: Introductionmentioning
confidence: 99%