Proceedings of the 41st Annual Design Automation Conference 2004
DOI: 10.1145/996566.996775
|View full text |Cite
|
Sign up to set email alerts
|

Statistical optimization of leakage power considering process variations using dual-Vth and sizing

Abstract: Abstracttiming analysis tools to replace standard deterministic static timing analyzers whereas [8,27] develop approaches for the statistical estimation of leakage power considering within-die and across-die variations.Increasing levels of process variability in sub-100nm CMOS design has become a critical concern for performance and power constraint designs. In this paper, we propose a new statistically aware Dual-Vt and sizing optimization that considers both the variability in performance and leakage of a de… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4

Citation Types

1
59
0

Year Published

2006
2006
2015
2015

Publication Types

Select...
5
4
1

Relationship

0
10

Authors

Journals

citations
Cited by 70 publications
(60 citation statements)
references
References 32 publications
1
59
0
Order By: Relevance
“…Various methodologies have been proposed to alleviate subthreshold leakage current consumption such as multi-threshold voltage CMOS (MTCMOS), also referred to as power gating [14], dynamic adjustment of the threshold voltage through body biasing [15], and multi-threshold voltage transistors, also referred to as dual threshold voltage (dual-V th ) partitioning [16]. These existing approaches have several limitations, particularly for low leakage register design, as further described in Section 3.…”
Section: Introductionmentioning
confidence: 99%
“…Various methodologies have been proposed to alleviate subthreshold leakage current consumption such as multi-threshold voltage CMOS (MTCMOS), also referred to as power gating [14], dynamic adjustment of the threshold voltage through body biasing [15], and multi-threshold voltage transistors, also referred to as dual threshold voltage (dual-V th ) partitioning [16]. These existing approaches have several limitations, particularly for low leakage register design, as further described in Section 3.…”
Section: Introductionmentioning
confidence: 99%
“…As technology scaling, process variation can severely affect both power and timing yield. Hence, some researchers devoted themselves to probabilistic dual V th assignment approaches [8]- [10] which statistically optimized the leakage power and circuit performance. However, little work has been done to improve the speed of circuit optimization based on statistical timing analysis.…”
Section: Introductionmentioning
confidence: 99%
“…Over the past few years, statistical design approach has been widely investigated as an effective method to ensure yield under process variations. Several gate-level sizing and/or V th assignment techniques [1] have been proposed recently addressing the minimization of total power while maintaining the timing yield. On the other end of the spectrum, design techniques (e.g., adaptive body biasing [2]) have been proposed for post-silicon process compensation and process adaptation to deal with process-related timing failures.…”
Section: Introductionmentioning
confidence: 99%