2007
DOI: 10.1109/tcad.2007.896305
|View full text |Cite
|
Sign up to set email alerts
|

CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation

Abstract: Design considerations for robustness with respect to variations and low power operations typically impose contradictory design requirements. Low power design techniques such as voltage scaling, dual-V th etc. can have a large negative impact on parametric yield. In this paper, we propose a novel paradigm for low-power variationtolerant circuit design, which allows aggressive voltage scaling. The principal idea is to (a) isolate and predict the set of possible paths that may become critical under process variat… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
45
0

Year Published

2009
2009
2022
2022

Publication Types

Select...
5
3
1

Relationship

0
9

Authors

Journals

citations
Cited by 89 publications
(45 citation statements)
references
References 14 publications
0
45
0
Order By: Relevance
“…However, the enforced timing constraints for timing-error detection and the overheads for the applied recovery methods (e.g., multicycle replay penalties), especially in case of high activation probability of critical paths can offset the gains achieved by removing the static or dynamic safety margins. Alternative methods include the use of occasional two cycle operations, when operands are detected that activate the critical path in arithmetic units through delay prediction circuits [6]. Unfortunately, such a method can also lead to performance overheads in case of high excitation probability of the long latency paths and its application has so far been limited to arithmetic circuits.…”
Section: A Related Workmentioning
confidence: 99%
“…However, the enforced timing constraints for timing-error detection and the overheads for the applied recovery methods (e.g., multicycle replay penalties), especially in case of high activation probability of critical paths can offset the gains achieved by removing the static or dynamic safety margins. Alternative methods include the use of occasional two cycle operations, when operands are detected that activate the critical path in arithmetic units through delay prediction circuits [6]. Unfortunately, such a method can also lead to performance overheads in case of high excitation probability of the long latency paths and its application has so far been limited to arithmetic circuits.…”
Section: A Related Workmentioning
confidence: 99%
“…But this technique increases the delay of non-critical paths and these non-critical paths can become critical paths because of V th variation. Hence conventional design techniques to reduce power cannot be directly applied to future technology designs as power and variability pose opposite constraints [18]. To analyze the effect of inter-die and intra-die variations, we consider the 11-NAND2…”
Section: Thesis Organizationmentioning
confidence: 99%
“…Previous design-level optimizations for error-tolerant designs ( [12], [11]) identify and optimize critical paths that are frequently exercised during operation. BlueShift [12] identifies the most frequently violated timing paths during gate-level simulation, and optimizes the paths iteratively until the error rate is below the target.…”
Section: Introductionmentioning
confidence: 99%
“…CRISTA [11] isolates critical paths with Shannon-expansion-based partitioning. After partitioning, CRISTA downsizes cells on the critical path and upsizes cells on the non-critical paths: critical paths are made slower while non-critical paths are made faster.…”
Section: Introductionmentioning
confidence: 99%