2007
DOI: 10.1109/mwscas.2007.4488752
|View full text |Cite
|
Sign up to set email alerts
|

Standard cell based pseudo-random clock generator for statistical random sampling of digital signals

Abstract: Abstract-A statistical random sampling technique has recently emerged as an elegant design-time efficient technique to address many timing issues of on-chip signals. To obtain reliable measurement results, it requires uniformly distributed sampling edges within the interval defined by the periodic cycles of the signal under measurement. This paper analyzes the characteristics of a random sampling clock relative to the signal under measurement and provides design rules for synthesis of pseudo-random sampling cl… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
10
0

Year Published

2007
2007
2019
2019

Publication Types

Select...
4
2

Relationship

1
5

Authors

Journals

citations
Cited by 7 publications
(10 citation statements)
references
References 10 publications
0
10
0
Order By: Relevance
“…9 (b) shows that the first transition ends at the time instance 500 and the second transition starts at the same time instance without any delay. Table 2, an analysis of the proposed PRCG performance is demonstrated and compared against the implementations published in [5] and [6]. As noticed, this work was capable to reduce the average power dissipation of the PRCG by 86.2% and 22.5% compared to the publications in [6], and [5] respectively.…”
Section: Performance Evaluationmentioning
confidence: 83%
See 2 more Smart Citations
“…9 (b) shows that the first transition ends at the time instance 500 and the second transition starts at the same time instance without any delay. Table 2, an analysis of the proposed PRCG performance is demonstrated and compared against the implementations published in [5] and [6]. As noticed, this work was capable to reduce the average power dissipation of the PRCG by 86.2% and 22.5% compared to the publications in [6], and [5] respectively.…”
Section: Performance Evaluationmentioning
confidence: 83%
“…PRNGs are vital in many practical aspects including PRCGs [3][4][5][6][7], Software Defined Radio (SDR) [8], Built-In Self-Test (BIST) in VLSI design [9], Evolutionary Algorithms, and Monte Carlo simulations. It is more desirable in most hardware implementations to minimize power consumption, area and maximize speed while producing high quality random numbers.…”
Section: A Pseudorandom Number Generatormentioning
confidence: 99%
See 1 more Smart Citation
“…We applied this technique in this design over high-speed on-chip signals in two manners: (1) to measure and correct the duty cycle of the system clock to produce equalized data and strobe for write cycles of source synchronous DDR/DDR2 bus, and(2) to measure and adjust the path delay of the strobe relative to the data to align the capturing edge of the strobe in the middle of the data eye. In this technique a random clock [3] is used, which is generated by a digitally controlled ring oscillator fed with pseudorandom numbers generated from a Linear Feedback Shift Register (LFSR). The capricious behavioral characteristics of a ring oscillator together with pseudo-random numbers generated by a LFSR produce a functional random clock for random observation of on-chip signals.…”
Section: Theoretical Substratum Of Proposed Designmentioning
confidence: 99%
“…To measure the duty cycle of the system clock, its state is repeatedly captured and recorded at random instants of time with the help of a random clock [3]. The duty cycle is directly related to the probability of capturing a logic high (one) in a particular random observation.…”
Section: A Duty Cycle Measurementmentioning
confidence: 99%