IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings.
DOI: 10.1109/iccd.2004.1347926
|View full text |Cite
|
Sign up to set email alerts
|

Simultaneous scheduling, binding and layer assignment for synthesis of vertically integrated 3D systems

Abstract: Three dimensional vertically integrated systems allow active devices to be placed on multiple device layers. In recent years, a number of research efforts have addressed physical synthesis issues for such systems. Such efforts showed a significant reduction in interconnect lengths. In order to effectively synthesize designs for 3D systems, it is necessary to take layer assignment for resources into consideration at higher levels of the design abstraction. We address the layer assignment problem as a part of a … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
4
0

Publication Types

Select...
7
1

Relationship

0
8

Authors

Journals

citations
Cited by 14 publications
(4 citation statements)
references
References 24 publications
0
4
0
Order By: Relevance
“…Very little has been reported on high-level synthesis systems aimed at 3-D layouts. To the best of our knowledge, only Mukherjee and Vemuri [11,12] have addressed high-level synthesis for 3D ICs. However, their approach seperates the the high-level synthesis tasks from the floorplanning step.…”
Section: Related Workmentioning
confidence: 98%
“…Very little has been reported on high-level synthesis systems aimed at 3-D layouts. To the best of our knowledge, only Mukherjee and Vemuri [11,12] have addressed high-level synthesis for 3D ICs. However, their approach seperates the the high-level synthesis tasks from the floorplanning step.…”
Section: Related Workmentioning
confidence: 98%
“…Some of the constraints including (1),(2),(3),(4),(5),(6) are the same with that of [3][6], and others were designed by us for the purpose of simultaneous resource duplication, resource binding and layer assignment.…”
Section: B Ilp Formulations For Tsv Number Minimizationmentioning
confidence: 99%
“…Under the constraint of floorplaning criteria, Mukherjee and Vemuri [3][4] Proposed an integer linear programming formulation for simultaneous scheduling, binding, and layer assignment, and their objective function was composed of both the number of TSVs and the critical path length. Krishnan and Katkoori [5] proposed a framework to integrate the resource binding and floorplaning problems together in the 3D ICs structure.…”
Section: Introductionmentioning
confidence: 99%
“…Previous work on high-level synthesis for 3-D integrated circuits include [16], [17], [18], and [19]. The authors of [16] and [17] formulate the high-level synthesis task and the assignment of RTL modules to various 3-D layers, as a Linear Programming problem that generates constraints to run a 3-D constraint-driven floorplanner. However, their approach, separates the the high-level synthesis tasks from the floorplanning step.…”
Section: Introductionmentioning
confidence: 99%