2011 International Symposium on Integrated Circuits 2011
DOI: 10.1109/isicir.2011.6131952
|View full text |Cite
|
Sign up to set email alerts
|

A resource binding technique for TSV number minimization in high-level synthesis of 3D ICs

Abstract: Three dimensional integrated circuits allow multiple devices to be stacked on multiple layers. Therefore, utilize the area of each layer efficiently and minimize the number of through silicon vias (TSVs) are crucial to the 3D IC design. In this paper, we propose an integer linear programming (ILP) model to perform simultaneous resource binding and layer assignment in high-level synthesis of 3D ICs. Our objective is to minimize the number of TSVs under both the layer number constraint and the footprint area con… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2012
2012
2013
2013

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
references
References 9 publications
0
0
0
Order By: Relevance