Proceedings of the International Conference on Computer-Aided Design 2012
DOI: 10.1145/2429384.2429511
|View full text |Cite
|
Sign up to set email alerts
|

Simultaneous information flow security and circuit redundancy in Boolean gates

Abstract: Abstract-High assurance systems require strict guarantees on information flow security and fault tolerance or else face catastrophic consequences. Recently, Gate Level Information Flow Tracking (GLIFT) has been proposed to monitor information flows at the level of Boolean logic. At this level, all flows are explicit which makes it possible to detect security violations, even those that occur due to difficult to detect timing channels. In this paper, we show that the encoding technique used in previous GLIFT ge… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2014
2014
2024
2024

Publication Types

Select...
3
3

Relationship

1
5

Authors

Journals

citations
Cited by 9 publications
(3 citation statements)
references
References 19 publications
0
3
0
Order By: Relevance
“…GLIFT [23] is a shadow-logic-based technique that adds logic to all the gates, resulting in design complexity and overhead. Data-flow logic [24] serves as a backbone, which is used in several proposed techniques, including [25][26][27], where optimized labeling and enhanced encoding techniques help to reduce complexity but affect the precision logic of the system. An asset-based GLIFT [28] model provides structural checking with security properties.…”
Section: Fine-grained Ift Modelsmentioning
confidence: 99%
“…GLIFT [23] is a shadow-logic-based technique that adds logic to all the gates, resulting in design complexity and overhead. Data-flow logic [24] serves as a backbone, which is used in several proposed techniques, including [25][26][27], where optimized labeling and enhanced encoding techniques help to reduce complexity but affect the precision logic of the system. An asset-based GLIFT [28] model provides structural checking with security properties.…”
Section: Fine-grained Ift Modelsmentioning
confidence: 99%
“…For example, logic redundancy has been used to achieve area and/or timing optimization in synchronous and asynchronous digital circuit designs [23], [24], and used to improve the yield of digital integrated circuit designs [25]. Reference [26] discusses a novel logic redundancy method called gate level information flow tracking, which consumes three times the area of the original circuit. Reference [27] also presented a new logic redundancy method called turtle logic, which primarily addresses the design of digital circuits to cope with noisy scenarios for operation under ultralow supply voltages (i.e.…”
Section: Introductionmentioning
confidence: 99%
“…Information flow security can be addressed from various abstraction levels of the system stack, including programming language, compiler, operating system (OS), instruction set architecture (ISA) or even down to the lowest level of Boolean gates. However, programming language level information flow tracking (IFT) methods force programmers to comply with new typing systems that lead to higher design complexity [12]. OS level IFT approaches build information flow control mechanisms into the OS and thus can take the pressure of high design complexity off programmers.…”
Section: Introductionmentioning
confidence: 99%