2007
DOI: 10.1016/j.mee.2007.05.029
|View full text |Cite
|
Sign up to set email alerts
|

Sidewall restoration of porous ultra low-k dielectrics for sub-45nm technology nodes

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
22
0

Year Published

2009
2009
2015
2015

Publication Types

Select...
7
1
1

Relationship

0
9

Authors

Journals

citations
Cited by 25 publications
(22 citation statements)
references
References 5 publications
0
22
0
Order By: Relevance
“…During the chemical-mechanical planarization (CMP) step, when the surface of the porous low-k dielectric is revealed (so-called direct CMP), surfactants from the CMP slurry can diffuse inside the pores and create hydrophilic sites inside the pores that lead to moisture uptake. [46][47][48] In manufacturing, the top surface of the low-k remains capped while undergoing conventional CMP processes, preventing the issues described above. In summary, the major issue with respect to porous low-k damage during any processing step is the diffusion of reactive species into the pores that can then modify the material and change its near-surface and bulk properties.…”
mentioning
confidence: 99%
“…During the chemical-mechanical planarization (CMP) step, when the surface of the porous low-k dielectric is revealed (so-called direct CMP), surfactants from the CMP slurry can diffuse inside the pores and create hydrophilic sites inside the pores that lead to moisture uptake. [46][47][48] In manufacturing, the top surface of the low-k remains capped while undergoing conventional CMP processes, preventing the issues described above. In summary, the major issue with respect to porous low-k damage during any processing step is the diffusion of reactive species into the pores that can then modify the material and change its near-surface and bulk properties.…”
mentioning
confidence: 99%
“…For instance, for the 45nm interconnect technology node, Chaabouni et al [28] show that after p-SiOCH (porosity of 25% and k=2.5) integration using a metallic hard mask approach, the integrated k value is about 3 (see Fig.8). After a post etching, restoration process using hexamethyldisilazane (HMDS: (CH 3 ) 3 -Si-NH-Si-(CH 3 ) 3 ) enables to decrease the integrated k value to 2.6 close to the dielectric constant of the as-deposited p-SiOCH [28]. HMDS molecules selectively react with the silanol species and convert them into hydrophobic trimethyl-siloxy (-O-Si-(CH 3 ) 3 ) groups leading to an increase of the carbon content that prevents water uptake.…”
Section: Iii3 Solutions To Limit P-sioch Film Modificationmentioning
confidence: 99%
“…In figure 15 The choice of the BEOL integration scheme (the metal liner, the dielectric and any hardmasks used) is intimately tied to the choice of the CMP barrier slurries that are used. After the Cu overburden is removed, the CMP barrier slurry needs to address the removal rate and selectivity requirements for the various materials that need to be removed in a controllable fashion and avoid negative interaction with the ULK material in the case of direct CMP as highlighted in (14,15). In this study, we first focused on the interaction between CMP slurries and the ULK film, as measured by the change in refractive index and k-value post CMP.…”
Section: Etch and Ash Interactionsmentioning
confidence: 99%