Proceedings of the 15th International Symposium on System Synthesis - ISSS '02 2002
DOI: 10.1145/581250.581253
|View full text |Cite
|
Sign up to set email alerts
|

Round-robin arbiter design and generation

Abstract: In this paper, we introduce a Round-robin Arbiter Generator (RAG) tool. The RAG tool can generate a design for a Bus Arbiter (BA). The BA is able to handle the exact number of bus masters for both on-chip and off-chip buses specified by the user of RAG. RAG can also generate a distributed and parallel hierarchical Switch Arbiter (SA). The first contribution of this paper is the automated generation of a round-robin token passing BA to reduce time spent on arbiter design. The generated arbiter is fair, fast, an… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
11
0

Year Published

2007
2007
2021
2021

Publication Types

Select...
5
3

Relationship

0
8

Authors

Journals

citations
Cited by 13 publications
(11 citation statements)
references
References 2 publications
(2 reference statements)
0
11
0
Order By: Relevance
“…If there is an available switch path, a grant message is sent to the source port and the allocator opens a unidirectional optical circuit from the source port to the destination port in the case of the baseline scheduler or a bidirectional circuit in the case of proposed scheduler. To deal with contentions, the round-robin method [15] was to ensure fair allocation. As only output port arbitration is required, our previous 45 nm synthesis results show that the allocator can be scaled up to 64 ports while maintaining single cycle allocation with a clock frequency of up to 1.2 GHz [16].…”
Section: Methodsmentioning
confidence: 99%
“…If there is an available switch path, a grant message is sent to the source port and the allocator opens a unidirectional optical circuit from the source port to the destination port in the case of the baseline scheduler or a bidirectional circuit in the case of proposed scheduler. To deal with contentions, the round-robin method [15] was to ensure fair allocation. As only output port arbitration is required, our previous 45 nm synthesis results show that the allocator can be scaled up to 64 ports while maintaining single cycle allocation with a clock frequency of up to 1.2 GHz [16].…”
Section: Methodsmentioning
confidence: 99%
“…The arbiter output is connected to the bus. The round robin arbiter in circular mode with equal priority maintains a time slice [1]. Every device connected to the arbiter is provided with a corresponding time slice by means of a circular rotation.…”
Section: A Turn or Tokenmentioning
confidence: 99%
“…There was also port limitation and no RTL schematic shown. Eung S. Shin, Vincent J. Mooney III and George F. Riley proposed a Round Robin Arbiter Generator (RAG) tool [1]. They also concentrated on design procedure.…”
Section: Introductionmentioning
confidence: 99%
“…We consider the arbiter with 4 inputs (shown in Figure 5). First, the priority of inputs is placed in descending order from req[0] to req [3] [25,26]. Thus, req[0] has the highest priority, req [1] has the next priority, and so on.…”
Section: A Hardware Verification Case Of Tmcmentioning
confidence: 99%