2015
DOI: 10.1147/jrd.2015.2446872
|View full text |Cite
|
Sign up to set email alerts
|

Robust power management in the IBM z13

Abstract: The power management strategy adopted for the IBM z13i processor chip (referred to as the CP or Central Processor chip) is guided by three basic principles: (a) controlling the peak power consumption by setting a realistic limit on the so-called thermal design power or thermal design point (TDP) driven by customer workloads and maximum-power stress microbenchmarks; (b) reduction of the voltage margin by using a novel dynamic guard-banding technique; and (c) the creation of a rich new set of fine-grained, time-… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2016
2016
2021
2021

Publication Types

Select...
5

Relationship

0
5

Authors

Journals

citations
Cited by 20 publications
references
References 21 publications
(46 reference statements)
0
0
0
Order By: Relevance