2010
DOI: 10.1109/tvlsi.2009.2026478
|View full text |Cite
|
Sign up to set email alerts
|

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
5
0

Year Published

2012
2012
2023
2023

Publication Types

Select...
5
2

Relationship

0
7

Authors

Journals

citations
Cited by 13 publications
(5 citation statements)
references
References 6 publications
0
5
0
Order By: Relevance
“…S1) . In addition, recongfigurable gates can be deployed in chips to tackle Engineering Change Orders (ECO) 39 , 40 , where functional logic changes need to be met with minimal layout changes. The ability to meet functional changes with existing gates in the design is relevant for both pre-mask and post-mask ECOs.…”
Section: Introductionmentioning
confidence: 99%
“…S1) . In addition, recongfigurable gates can be deployed in chips to tackle Engineering Change Orders (ECO) 39 , 40 , where functional logic changes need to be met with minimal layout changes. The ability to meet functional changes with existing gates in the design is relevant for both pre-mask and post-mask ECOs.…”
Section: Introductionmentioning
confidence: 99%
“…S1). In addition, recongfigurable gates can be deployed in chips to tackle Engineering Change Orders (ECO) 37,38 , where functional logic changes need to be met with minimal layout changes. The ability to meet functional changes with existing gates in the design is relevant for both pre-mask and post-mask ECOs.…”
Section: Introductionmentioning
confidence: 99%
“…These incremental changes can be functional ECO, dedicated for functional rectification or specification modification, or timing ECO, dedicated for timing closure and optimization. Recently, metal-only ECO has drawn great attentions in literature, e.g., [2,3,4,5,6,7,8,9,10,11,12,13]. To enable metal-only ECO, (redundant) spare cells are inserted at the early placement and routing stage.…”
Section: Introductionmentioning
confidence: 99%
“…Initially, S 1 has 3 free tiles and can implement every cell type listed in Fig. 1 ing spare arrays [12,13]. In addition to timing ECO, spare arrays are also suitable for functional ECO because of their versatile functionality.…”
Section: Introductionmentioning
confidence: 99%