2021
DOI: 10.1109/mdat.2021.3050000
|View full text |Cite
|
Sign up to set email alerts
|

Real Silicon Using Open-Source EDA

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
6
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
4
4
1

Relationship

0
9

Authors

Journals

citations
Cited by 17 publications
(9 citation statements)
references
References 4 publications
0
6
0
Order By: Relevance
“…These benchmark circuits are diffeq, gcd, Kalman, ellipf, and qsort. They were synthesized at data-path widths of byte (8-bit), half-word (16-bit) and word (32-bit) and their layouts were generated using open-source digital ASIC implementation flow OpenLane [24], [25] using sky130_fd_sc_hd standard cell library. Their performances concerning die area and total time to execute the benchmarks with their standard input test vectors were recorded.…”
Section: Performance Evaluationmentioning
confidence: 99%
“…These benchmark circuits are diffeq, gcd, Kalman, ellipf, and qsort. They were synthesized at data-path widths of byte (8-bit), half-word (16-bit) and word (32-bit) and their layouts were generated using open-source digital ASIC implementation flow OpenLane [24], [25] using sky130_fd_sc_hd standard cell library. Their performances concerning die area and total time to execute the benchmarks with their standard input test vectors were recorded.…”
Section: Performance Evaluationmentioning
confidence: 99%
“…The main goal of The OpenROAD Project is to support the entire ASIC flow, from RTL to GDSII. Additional arrangements such as OpenLane [39,40] and the OpenROAD flow scripts [41] use a set of tools to achieve the support for the entire ASIC flow. Fig.…”
Section: Open-source Technologymentioning
confidence: 99%
“…Many open EDAs are widely proposed and these EDAs enable these open-source VLSI design projects. Many open-sourced CAD tools are proposed to support system design to logic design, logic synthesis, place-and-route, test insertion, verification, and summarized as sevelral RTL-to-GDS flows [3]. However, to our knowledge, tool-chain lacks library characterizer to extract timing and power of standard cells to enable precise timing and power estimation using Static Timing Analysis (STA).…”
Section: Introductionmentioning
confidence: 99%